On-Chip Networks Bibliography (not maintained since 2007)

News: Netmaker on-chip interconnection network library
A library of parameterized Network-on-Chip (NoC) implementations for simulation and synthesis
To contribute new (or correct mistakes in current) BibTeX entries please email: Robert.Mullins@cl.cam.ac.uk

The cite key format is [FirstAuthorLastName:Year:KeyPhrase] where KeyPhrase is usually the first letters of the first three words in the title e.g. [Jones:2006:OCN] (Don't worry too much if your files are not in this format - I can make the necessary changes automatically).
Please also indicate where the paper should be added in the bibliography browser tree (or suggest an appropriate new branch).

If you wish to cite the bibliography itself use 'cl-noc-bib'.

Many thanks to everyone who has contributed entries. For other resources take a look at the On-Chip Network Research Resources Page

Other relevant bibliographies:

Globally-Asynchronous Locally-Synchronous (GALS) Bibliography
Pausible, stretchable and data-driven clock designs.

On-Chip Communication Bibliography at OCP-IP

Not updated now, 100+ pubs. per year after 2005...

Bibliography Browser (needs updating!)

The Bibliography

Download Bibliography
BibTeX
EndNote (untested)
PDF Version

Last updated on Fri Oct 23, 2009, 401 Entries
[1 — Ahonen:2004:ABF]
Tapani Ahonen et al. A brunch from the coffee table – case study in NoC platform design. In J. Nurmi, H. Tenhunen, J. Isoaho, and A. Jantsch, editors, Interconnect-Centric Design for Advanced SoC and NoC, pages 425–453. Kluwer Academic Publishers, 2004.
[2 — Ahonen:2004:TOF]
Tapani Ahonen, David Sigüenza Tortosa, and Jari Nurmi. Topology optimization for application-specific networks-on-chip. In Proc. 6th International Workshop on System Level Interconnect Prediction, Paris, France, 2004.
[3 — Ainsworth:2007:OCP]
Thomas Ainsworth and Timothy Pinkston. On characterizing performance of the Cell broadband engine element interconnect bus. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[4 — Akerlund:2005:IOA]
Daniel Åkerlund. Implementation of a 2x2 NoC with wishbone interface. Master's thesis, School for Information and Communication Technology, Royal Institute of Technology, Stockholm, Sweden, November 2005.
[5 — Alho:2003:IOI]
Mikko Alho and Jari Nurmi. Implementation of interface router IP for Proteo network-on-chip. In Proc. The 6th IEEE International Workshop on Design and Diagnostics of Electronics Circuits and Systems (DDECS'03), Poznan, Poland, 2003.
[6 — Alho:2003:SBI]
Mikko Alho and Jari Nurmi. Switch-based interface router IP for proteo network-on-chip. In Proc. The 1st Northeast Workshop on Circuits and Systems NEWCAS, Montreal, Canada, 2003.
[7 — Ali:2005:CFF]
M. Ali, M. Welzl, M. Zwicknagl, and S. Hellebrand. Considerations for fault-tolerant network on chips. In Proc. of the 17th Intl. Conf. on Microelectronics (ICM), 2005.
[8 — Amde:2005:AOC]
M. Amde, T. Felicijan, A. Efthymiou, D. Edwards, and L. Lavagno. Asynchronous On-Chip Networks. IEE Proceedings Computers and Digital Techniques, 152(02), March 2005.
[9 — Andrzejewski:2005:ABE]
Marek Andrzejewski. AMBA bus emulation in the Nostrum NoC using best effort communication. Master's thesis, School for Information and Communication Technology, Royal Institute of Technology, Stockholm, Sweden, December 2005.
[10 — Angiolini:2006:CAN]
F Angiolini, L Benini, P Meloni, L Raffo, and S Carta. Contrasting a NoC and a traditional interconnect fabric with layout awareness. In In Proc. Design, Automation and Test in Europe (DATE), March 2006.
[11 — Ascia:2006:MMF]
Giuseppe Ascia, Vincenzo Catania, and Maurizio Palesi. Multi-objective mapping for mesh-based NoC architectures. In Second IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, pages 182–187, Stockholm, Sweden, September 8–10 2004.
[12 — Ascia:2006:NOP]
Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi, and Davide Patti. Neighbors-on-Path: A new selection strategy for on-chip networks. In Fourth IEEE Workshop on Embedded Systems for Real Time Multimedia, pages 79–84, Seoul, Korea, October 2006.
[13 — Avasare:2005:CEF]
P. Avasare, V. Nollet, J-Y. Mignolet, D. Verkest, and H. Corporaal. Centralized end-to-end flow control in a best-effort network-on-chip. In EMSOFT '05: Proceedings of the 5th ACM international conference on Embedded software, pages 17–20, New York, NY, USA, 2005. ACM Press.
[14 — Awasthi:2006:ETD]
M. Awasthi and R. Balasubramonian. Exploring the Design Space for 3D Clustered Architectures. In Proceedings of the 3rd IBM Watson Conference on Interaction between Architecture, Circuits, and Compilers, October 2006.
[15 — Bainbridge:2002:CAD]
W. J. Bainbridge and S. B. Furber. CHAIN: A Delay Insensitive CHip Area INterconnect. IEEE Micro special issue on Design and Test of System on Chip, 142, No.4.:16–23, September 2002.
[16 — Bainbridge:2004:TDA]
L. A. Plana W. J. Bainbridge and S. B. Furber. The design and test of a smartcard chip using a CHAIN self-timed network-on-chip. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, volume 3, page 274, February 2004. ISBN 0769520855.
[17 — Bainbridge:2003:DIP]
W. J. Bainbridge, W. B. Toms, D. A. Edwards, and S. B. Furber. Delay-Insensitive, Point-to-Point Interconnect using m-of-n codes. In Proceedings of the 9th IEEE Intl Symp. on Asynchronous Circuits and Systems, pages 132–140, May 2003.
[18 — Balasubramonian:2003:DMT]
R. Balasubramonian, S. Dwarkadas, and D. H. Albonesi. Dynamically Managing the Communication-Parallelism Trade-Off in Future Clustered Processors. In Proceedings of ISCA-30, pages 275–286, June 2003.
[19 — Balasubramonian:2005:MWM]
R. Balasubramonian, N. Muralimanohar, K. Ramani, and V. Venkatachalapathy. Microarchitectural Wire Management for Performance and Power in Partitioned Architectures. In 11th International Symposium on High-Performance Computer Architecture (HPCA-11), February 2005.
[20 — Balasubramonian:2006:LWP]
R. Balasubramonian, N. Muralimanohar, K. Ramani, L. Cheng, and J. Carter. Leveraging Wire Properties at the Microarchitecture Level. IEEE Micro, 26(6), November/December 2006.
[21 — Balfour:2006:DTF]
James Balfour and William J. Dally. Design tradeoffs for tiled CMP on-chip networks. In Proceedings of the 20th ACM International Conference on Supercomputing (ICS), June 2006.
[22 — Balkan:2004:AMP]
Aydin O. Balkan, Gang Qu, and Uzi Vishkin. Arbitrate-and-Move Primitives for High Throughput On-Chip Interconnection Networks. In Proc. IEEE Int'l Symposium on Circuits and Systems (ISCAS), volume II, pages 441–444, Vancouver, May 2004.
[23 — Balkan:2006:MOT]
Aydin O. Balkan, Gang Qu, and Uzi Vishkin. A Mesh-of-Trees Interconnection Network for Single-Chip Parallel Processing. In Proceedings of the Application-Specific Systems, Architectures and Processors (ASAP), pages 73–80, 2006.
[24 — Balkan:2007:LAD]
Aydin O. Balkan, Michael N. Horak, Gang Qu, and Uzi Vishkin. Layout-Accurate Design and Implementation of a High-Throughput Interconnection Network for Single-Chip Parallel Processing. In Proc. IEEE Symp. on High Performance Interconnection Networks (Hot Interconnects), Stanford University, CA, August 2007.
[25 — Banerjee:2004:APA]
Nilanjan Banerjee, Praveen Vellanki, and Karam S. Chatha. A power and performance model for network-on-chip architectures. In Proc. of the Design, Automation and Test in Europe Conference (DATE), 2004.
[26 — Banerjee:2007:PEE]
Arnab Banerjee, Robert Mullins, and Simon Moore. A power and energy exploration of network-on-chip architectures. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[27 — Bartic:2003:HSN]
T. A. Bartic, J.-Y. Mignolet, V. Nollet, T. Marescaux, D. Verkest, S. Vernalde, and R. Lauwereins. Highly scalable network on chip for reconfigurable systems. In Proc. Intl. Symp. on System-on-Chip, pages 79–82, 2003.
[28 — Bartic:2006:NOC]
T. A. Bartic, D. Desmet, J-Y. Mignolet, T. Marescaux, D. Verkest, S. Vernalde, R. Lauwereins, J. Miller, and F. Robert. Network-on-Chip for Reconfigurable Systems: From High-Level Design Down to Implementation. In Field Programmable Logic and Application, volume 3203/2004 of Lecture Notes in Computer Science, pages 637–647. Springer Berlin / Heidelberg, 2004. (doi:10.1007/b99787)
[29 — Bartic:2005:TAN]
T. A. Bartic, J.-Y. Mignolet, V. Nollet, T. Marescaux, D. Verkest, S. Vernalde, and R. Lauwereins. Topology adaptive network-on-chip design and implementation. IEE Proceedings - Computers and Digital Techniques, 152(4):467–472, July 2005.
[30 — Beigne:2006:DOO]
E. Beigné and P. Vivet. Design of on-chip and off-chip interfaces for a GALS noC architecture. In 12th IEEE Intl. Symp. on Asynchronous Circuits and Systems (ASYNC'06), pages 172–183, 2006.
[31 — Beigne:2005:AAN]
Edith Beigne, Fabien Clermidy, Pascal Vivet, Alain Clouard, and Marc Renaudin. An Asynchronous NOC Architecture Providing Low Latency Service and its Multi-Level Design Framework. In Proceedings of the 11th IEEE International Symposium on Asynchronous Circuits and Systems, 2005.
[32 — Bengtsson:2006:OLT]
Tomas Bengtsson, Artur Jutman, Shashi Kumar, Zebo Peng, and Raimund Ubar. Off-line testing of delay faults in NoC interconnects. In Proc. 9th EUROMICRO Conference on Digital System Design, Architectures, Methods and Tools (DSD), September 2006.
[33 — Bertozzi:2004:XAN]
Davide Bertozzi and Luca Benini. Xpipes: A Network-on-Chip Architecture for Gigascale Systems-on-Chip. IEEE Circuits and Systems Magazine, 4, 2004.
[34 — Bertozzi:2002:LPE]
D. Bertozzi, L. Benini, and G. De Micheli. Low power error resilient encoding for on-chip data buses. In Proceedings Design, Automation and Test in Europe Conference and Exhibition, pages 102–109, 2002.
[35 — Bertozzi:2002:EEA]
D. Bertozzi, L. Benini, and B. Ricco. Energy-efficient and reliable low-swing signaling for on-chip buses based on redundant coding. In IEEE International Symposium on Circuits and Systems, pages 93–96, 2002.
[36 — Bertozzi:2005:ECS]
D. Bertozzi, L. Benini, and G. De Micheli. Error Control Schemes for On-chip Communication Links: the energy-reliability trade-off. IEEE Transactions on CAD, 24(6):818–831, 2005.
[37 — Bertozzi:2005:NSF]
D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou, L. Benini, and G. De Micheli. NoC Synthesis Flow for Customized Domain Specific Mutliprocessor Systems-on-Chip. IEEE Transactions on Parallel and Distributed Systems, 16(2):113–129, 2005.
[38 — Bhojwani:2003:ICW]
P. Bhojwani and R. Mahapatra. Interfacing cores with on-chip packet-switched networks. In Proc. of VLSI Design, January 2003.
[39 — Bhojwani:2006:CNI]
P. Bhojwani and R. Mahapatra. Core network interface architecture and latency constrained on-chip communication. In Proc. of Int. Symp. on Quality Electronic Design (ISQED), 2006.
[40 — Bhojwani:2007:AII]
P. Bhojwani and R. Mahapatra. An infrastructure-IP for online testing of network-on-chip based SoCs. In Proc. of Int. Symp. on Quality Electronic Design (ISQED), pages 867–872, March 2007.
[41 — Bhojwani:2007:ARP]
P. Bhojwani and R. Mahapatra. A robust protocol for Concurrent On-Line Test (COLT) of NoC-based systems-on-a-chip. In Proc. of ACM/IEEE Design Automation Conference (DAC), 2007.
[42 — Bhojwani:2005:AHF]
P. Bhojwani, R. Mahapatra, E. J. Kim, and T. Chen. A heuristic for peak power constrained design of Network-on-Chip (NoC) based multimode system. In Proc. of VLSI Design, January 2005.
[43 — Bhojwani:2006:FEC]
P. Bhojwani, R. Singhal, G. Choi, and R. Mahapatra. Forward error correction for on-chip networks. In Proc. of Workshop for Unique Chips and Systems (UCAS-2), March 2006.
[44 — Bhojwani:2003:MMS]
Praveen Bhojwani. Mapping multimode system communication to a network-on-a-chip (NoC). Master's thesis, August 2003.
[45 — Bjerregaard:2006:ASO]
Tobias Bjerregaard and Shankar Mahadevan. A survey of research and practices of network-on-chip. ACM Computing Surveys, 38(1), 2006.
[46 — Bjerregaard:2004:VCD]
Tobias Bjerregaard and Jens Sparsø. Virtual channel designs for guaranteeing bandwidth in asynchronous network-on-chip. In Proceedings of the IEEE Norchip Conference (NORCHIP 2004). IEEE, 2004.
[47 — Bjerregaard:2005:ASD]
Tobias Bjerregaard and Jens Sparsø. A Scheduling Discipline for Latency and Bandwidth Guarantees in Asynchronous Network-on-chip. In Proceedings of the 11th IEEE International Symposium on Asynchronous Circuits and Systems, 2005.
[48 — Bjerregaard:2005:ARA]
Tobias Bjerregaard and Jens Sparsø. A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip. In Proceedings of Design, Automation and Testing in Europe Conference 2005 (DATE05). IEEE, 2005.
[49 — Bjerregaard:2004:ACL]
Tobias Bjerregaard, Shankar Mahadevan, and Jens Sparsø. A channel library for asynchronous circuit design supporting mixed-mode modeling. In Proceedings of the Fourteenth International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS2004, pages 301–310, 2004.
[50 — Bjerregaard:2005:AOC]
Tobias Bjerregaard, Shankar Mahadevan, Rasmus Grøndahl Olsen, and Jens Sparsø. An OCP compliant network adapter for GALS-based SoC design using the MANGO network-on-chip. In Proceedings of International Symposium on System-on-Chip 2005. IEEE, 2005.
[51 — Bjerregaard:2005:TMC]
Tobias Bjerregaard. The MANGO clockless network-on-chip: Concepts and implementation. PhD thesis, Informatics and Mathematical Modelling, Technical University of Denmark, DTU, Richard Petersens Plads, Building 321, DK-2800 Kgs. Lyngby, 2005.
[52 — Bolotin:2004:CCI]
E. Bolotin, I. Cidon, R. Ginosar, and A. Kolodny. Cost considerations in network on chip. Integration-The VLSI Journal, Special issue: Networks on chip and reconfigurable fabrics, 38, Issue 1:19–42, October 2004.
[53 — Bolotin:2004:QQA]
E. Bolotin, I. Cidon, R. Ginosar, and A. Kolodny. QNoC: QoS architecture and design process for network on chip. Journal of Systems Architecture, special issue on Network on Chip, 50:105–128, February 2004.
[54 — Bolotin:2004:AHE]
E. Bolotin, A. Morgenshtein, I. Cidon, R. Ginosar, and A. Kolodny. Automatic hardware-efficient SoC integration by QoS network on chip. In Proc. of the 11th IEEE Intl. Conf. on Electronics, Circuits and Systems (ICECS), pages 483–486, December 2004.
[55 — Bolotin:2007:PPN]
Evgeny Bolotin, Zvika Guz, Israel Cidon, Ran Ginosar, and Avinoam Kolodny. The power of priority: NoC based distributed cache coherency. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[56 — Bononi:2006:SAA]
L Bononi and N Concer. Simulation and analysis of network on chip architectures: ring, spidergon and 2D mesh. In In Proc. Design, Automation and Test in Europe (DATE), March 2006.
[57 — Bourduas:2007:HRM]
Stephan Bourduas and Zeljlko Zilic. A hybrid ring/mesh interconnect for network-on-chip using hierarchical rings for global routing. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[58 — Briere:2005:HMO]
Matthieu Briére, Emmanuel Drouard, Fabien Mieyeville, David Navarro, and Ian O'Connor Frédéric Gaffiot. Heterogeneous Modelling of an Optical Network-on-Chip with SystemC. In 16th IEEE International Workshop on Rapid System Prototyping (RSP'05), pages 10–16, 2005.
[59 — Brinkmann:2002:OCI]
A. Brinkmann, J.-C. Niemann, I. Hehemann, D. Langen, and M. Porrmann andU. Ruckert. On-Chip Interconnects for Next Generation System-on-Chips. In In Proc. of the 15th Annual IEEE International ASIC/SOC Conference, September 2002.
[60 — Bystrov:2000:PA]
A. Bystrov, D. J. Kinniment, and A. Yakolev. Priority arbiters. In Proceedings of the 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC), 2000.
[61 — Campobello:2006:GNO]
G Campobello, M Castano, C Ciofi, and D Mangano. GALS networks on chip: new solutions for asynchronous delay-insensitive links. In In Proc. Design, Automation and Test in Europe (DATE), March 2006.
[62 — Caputa:2006:A3G]
Peter Caputa and Christer Svensson. A 3Gb/s/wire Global On-Chip Bus with Near Velocity-of-Light Latency. In Proc. of 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06), pages 117–122, 2006.
[63 — Carloni:2002:CWL]
L. P. Carloni and A. L. Sangiovanni-Vincentelli. Coping with latency in SoC design. IEEE Micro, Special Issue on Systems on Chip, 22(5):12, October 2002.
[64 — Carrion:1996:DET]
C. Carrion and A. Yakovlev. Design and evaluation of two asynchronous token ring adapters. Technical Report TR. no. 562, Department of Computing Science, University of Newcastle upon Tyne, October 1996.
[65 — Castells:2006:AVP]
David Castells-Rufas, Jaume Joven, and Jordi Carrabina. A validation and performance evaluation tool for ProtoNoc. November 2006.
[66 — Chan:2005:NEM]
Jeremy Chan and Sri Parameswaran. NoCEE: Energy macro-model extraction methodology for network on chip routers. In Proc. of the Intl. Conf. on Computer-Aided Design (ICCAD), 2005.
[67 — Chang:2001:RWI]
M. F. Chang, V. P. Roychowdhury, Liyang Zhang, and Hyunchol Shin; Yongxi Qian. RF/wireless interconnect for inter- and intra-chip communications. Proceedings of the IEEE, 89(4):456–466, April 2001.
[68 — Chang:2003:NSO]
R. Chang, N. Talwalkar, C. Yue, and S. Wong. Near Speed-of-Light Signaling Over On-Chip Electrical Interconnects. IEEE Journal of Solid-State Circuits, 38(5):834–838, May 2003.
[69 — Chang:2005:ARB]
M.-C. F. Chang, I. Verbauwhede, C. Chien, Zhiwei Xu Jongsun Kim, J. Ko, Qun Gu, and Bo-Cheng Lai. Advanced RF/baseband interconnect schemes for inter- and intra-ULSI communications. IEEE Trans. on Electron Devices, 52(7):1271–1285, July 2005.
[70 — Chen:2007:LLL]
Shuming Chen and Xiangyuan Liu. A low-latency and low-power hybrid insertion methodology for global interconnects in VDSM designs. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[71 — Chen:2002:PPO]
H. Chen, B. Yao, F. Zhou, and C. Cheng. Physical Planning of On-Chip Interconnect Architectures. In Proceedings of International Conference on Computer Design, pages 30–35, 2002.
[72 — Chen:2006:CDC]
Guangyu Chen, Feihui Li, and Mahmut Kandemir. Compiler-directed channel allocation for saving power in on-chip networks. In Proc. of the 33rd ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages (POPL), pages 194–205, New York, NY, USA, 2006. ACM Press.
[73 — Cheng:2005:WMF]
L. Cheng, N. Muralimanohar, K. Ramani, R. Balasubramonian, and J. Carter. Wire Management for Coherence Traffic in Chip Multiprocessors. In Proceedings of the 6th Workshop on Complexity-Effective Design, held in conjunction with ISCA-32, June 2005.
[74 — Cheng:2006:ICP]
L. Cheng, N. Muralimanohar, K. Ramani, R. Balasubramonian, and J. Carter. Interconnect-Aware Coherence Protocols for Chip Multiprocessors. In Proceedings of 33rd International Symposium on Computer Architecture (ISCA-33), pages 339–350, June 2006.
[75 — Chou:2008:CAM]
Chen-Ling Chou and R. Marculescu. Contention-aware application mapping for network-on-chip communication architectures. In Computer Design, 2008. ICCD 2008. IEEE International Conference on, pages 164–169, October 2008. (doi:10.1109/ICCD.2008.4751856)
[76 — Chou:2008:EAP]
Chen-Ling Chou, U. Y. Ogras, and R. Marculescu. Energy- and performance-aware incremental mapping for networks on chip with multiple voltage levels. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 27(10):1866–1879, October 2008. (doi:10.1109/TCAD.2008.2003301)
[77 — Ciordas:2004:AEB]
Calin Ciordas, Basten, Twan, Andrei Radulescu, Kees Goossens, and Jef van Meerbergen. An event-based network-on-chip monitoring service. In Proceedings of High-Level Design Validation and Test Workshop (HLDVT), pages 149–154, November 2004.
[78 — Ciordas:2005:AEB]
Calin Ciordas, Twan Basten, Andrei Radulescu, Kees Goossens, and Jef van Meerbergen. An event-based network-on-chip monitoring service. ACM Transactions on Design Automation of Electronic Systems, 10(4):702–723, October 2005. HLDVT'04 Special Issue on Validation of Large Systems.
[79 — Ciordas:2006:NMI]
Calin Ciordas, Kees Goossens, Andrei Radulescu, Kees Goossens, and Twan Basten. NoC monitoring: Impact on the design flow. In Proc. Int'l Symposium on Circuits and Systems (ISCAS), May 2006.
[80 — Cohen:2008:SAN]
Itamar Cohen, Ori Rottenstreich, and Isaac Keslassy. Statistical approach to NoC design. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), 2008.
[81 — Cota:2004:TSF]
Chunsheng Liu Cota, H Sharif, and Dhiraj Pradhan. Test scheduling for network-on-chip with BIST and precedence constraints. In Proc. of the IEEE Intl. Test Conference, pages 1369–1378, November 2004.
[82 — Alessandro:2006:MRP]
Crescenzo D'Alessandro, Delong Shang, Alex Bystrov, Alex Yakovlev, and Oleg Maevsky. Multiple-rail phase-encoding for NoC. In 12th IEEE Intl. Symp. on Asynchronous Circuits and Systems (ASYNC'06), pages 107–116, 2006.
[83 — Dalessandro:2007:NCS]
Crescenzo D'Alessandro, Nikolaos Minas, Keith Heron, David Kinniment, and Alex Yakovlev. NoC communication strategies using time-to-digital conversion. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[84 — Dally:2001:RPN]
William J. Dally and Brian Towles. Route Packets, Not Wires: On-Chip Interconnection Networks. In Proc. of the 38th Design Automation Conference (DAC), June 2001.
[85 — Dally:2003:PAP]
William J. Dally and Brian Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann, 2003.
[86 — Dally:1987:WEV]
W. J. Dally. Wire-Efficient VLSI Multiprocessor Communication Networks. In Paul Losleben, editor, Proceedings of the Stanford Conference on Advanced Research in VLSI. MIT Press, March 1987.
[87 — Dally:1990:VCF]
William J. Dally. Virtual-Channel Flow Control. In Proc. of the 17th Annual International Symposium on Computer Architecture (ISCA), pages 60–68, Seattle, Washington, May 1990.
[88 — Micheli:2002:NOC]
G. de Micheli and L. Benini. Networks on chip: A new paradigm for systems on chip design. In DATE '02: Proceedings of the conference on Design, automation and test in Europe, page 418, Washington, DC, USA, 2002. IEEE Computer Society.
[89 — Dielissen:2003:CAI]
John Dielissen, Andrei Radulescu, Kees Goossens, and Edwin Rijpkema. Concepts and implementation of the Philips network-on-chip. In IP-Based SOC Design, November 2003.
[90 — Diguet:2007:NCS]
Jean-Philippe Diguet, Guy Gogniat, Samuel Evain, Romain Vaslin, and Emmanuel Juin. NOC-centric security of reconfigurable SoC. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[91 — Dobkin:2005:AAR]
R. Dobkin, V. Vishnyakov, E. Friedman, and R. Ginosar. An asynchronous router for multiple service levels networks on chip. In Proceedings of ASYNC'05, pages 44–53, 2005.
[92 — Borrione:2007:GMF]
Laurence Pierre Dominique Borrione, Amr Helmy and Julien Schmaltz. A generic model for formally verifying NoC communication architectures: A case study. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[93 — Dumitras:2003:OCS]
Tudor Dumitras and Radu Marculescu. On-Chip Stochastic Communication. In Proc. Design Automation and Test in Europe (DATE), March 2003.
[94 — Dumitras:2003:TOC]
T. Dumitras, S. Kerner, and R. Marculescu. Towards on-chip fault-tolerant communication. In Proc. of the Asia and South Pacific Design Automation Conference (ASP-DAC), 2003.
[95 — Dumitrascu:2006:FMP]
F Dumitrascu, I Bacivarov, L Pieralisi, M Bonaciu, and A Jerraya. Flexible MPSoC platform with fast interconnect exploration for optimal system performance for a specific application. In In Proc. Design, Automation and Test in Europe (DATE), March 2006.
[96 — Eisley:2004:HLP]
Noel Eisley and Li-Shiuan Peh. High-level power analysis for on-chip networks. In Proceedings of CASES, pages 104–115. ACM Press, 2004.
[97 — Eisley:2006:INC]
Noel Eisley, Li-Shiuan Peh, and Li-Shang. In-network cache coherence. In Proc. of the 39th Annual Intl. Symp. on Microarchitecture (MICRO), 2006.
[98 — Essakimuthu:2002:AAP]
G. Essakimuthu, N. Vijaykrishnan, and M. J. Irwin. An analytical power estimation model for crossbar interconnects. In IEEE International ASIC/SOC Conference, September 25-28 2002.
[99 — Fairbanks:2002:TDC]
Scott Fairbanks and Simon Moore. The Distributed Clock Generator. In Proc. of the second ACiD-WG Workshop, Munich, Germany, January 2002.
[100 — Fairbanks:2005:STC]
Scott Fairbanks and Simon Moore. Self-timed circuitry for global clocking. In Proceedings of the 11th International Symposium on Asynchronous Circuits and Systems, 2005.
[101 — Felicijan:2003:AAT]
T. Felicijan and S. B. Furber. An asynchronous ternary logic signaling system. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 11(6):1114–1119, December 2003.
[102 — Felicijan:2003:AAL]
W. J. Bainbridge T. Felicijan and S. B. Furber. An asynchronous low latency arbiter for quality of service (qoS) applications. In Proceedings of the 15th International Conference on Microelectronics (ICM'03), Cairo, Egypt, pages 123–126, December 2003. ISBN 9770520101.
[103 — Felicijan:2004:AAO]
T. Felicijan and S. B. Furber. An asynchronous on-chip network router with quality-of-service (QoS) support. In Proceedings IEEE International SOC Conference, pages 274–277, September 2004. ISBN 0780384458.
[104 — Flich:2007:RBR]
Jose Flich, Andres Mejia, Pedro Lopez, and Jose Duato. Region-based routing. an efficient routing mechanism to tackle unreliable hardware in network on chips. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[105 — Forsell:2002:ASH]
M. Forsell. A scalable high-performance computing solution for networks on chips. IEEE Micro, 22(5):46–55, September/October 2002.
[106 — Furber:2005:FTI]
S. B. Furber and J. Bainbridge. Future trends in soC interconnect. Proceedings 2005 International Symposium on System-on-Chip, pages 183–186, November 2005.
[107 — Galles:1996:SPI]
M. Galles. Scalable Pipelined Interconnect for Distributed Endpoint Routing: The SGI SPIDER Chip. In Proceedings of Hot Interconnects Symposium IV, 1996.
[108 — Gangwal:2005:BPS]
Om Prakash Gangwal, Andrei Radulescu, Kees Goossens, Santiago González Pestana, and Edwin Rijpkema. Building predictable systems on chip: An analysis of guaranteed communication in the Æthereal network on chip. In Peter van der Stok, editor, Dynamic and Robust Streaming In And Between Connected Consumer-Electronics Devices, volume 3 of Philips Research Book Series, chapter 1, pages 1–36. Springer, 2005.
[109 — Gebhardt:2008:EFA]
Daniel Gebhardt and Kenneth S. Stevens. Elastic flow in an application specific network-on-chip. In Electronic Notes in Theoretical Computer Science, 200(1), pages 3–15. Elsevier, February 2008.
[110 — Gebremichael:2005:DPI]
Biniam Gebremichael, Frits Vaandrager, Miaomiao Zhang, Kees Goossens, Edwin Rijpkema, and Andrei Radulescu. Deadlock prevention in the Æthereal protocol. In Dominique Borrione and Wolfgang Paul, editors, Proc. Working Conference on Correct Hardware Design and Verification Methods (CHARME), volume 3725 of Lecture Notes in Computer Science (LNCS), pages 345–348, October 2005.
[111 — Genko:2005:ANA]
N. Genko, D. Atienza, G. De Micheli, L. Benini, J. M. Mendias, R. Hermida, and F. Catthoor. A Novel Approach for Network on Chip Emulation. In ISCAS 2005, pages 2365–2368, Kobe, Japan, 2005.
[112 — Genko:2005:ACN]
N. Genko, D. Atienza, G. De Micheli, et al. A complete network-on-chip emulation framework. In Proc. of IEEE DATE, pages 246–251, 2005.
[113 — Genko:2005:NEO]
N. Genko, D. Atienza, G. De Micheli, et al. NoC emulation on FPGA: HW/SW synergy for noC features exploration. In Proc. of ParCo, 2005.
[114 — Gindin:2007:NFA]
Roman Gindin, Israel Cidon, and Idit Keidar. NoC-based FPGA: Architecture and routing. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[115 — Goossens:2002:TCO]
K. G. W. Goossens and O. P. Gangwal. The cost of communication protocols and coordination languages in embedded systems. In Farhad Arbab and Carolyn Talcott, editors, Coordination languages and models, number 2315 in Lecture notes in computer science, pages 174–190. Springer Verlag, April 2002.
[116 — Goossens:2002:NOS]
K. Goossens, J. van Meerbergen, A. Peeters, and P. Wielage. Networks on silicon: Combining best-effort and guaranteed services. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), pages 423–425, March 2002.
[117 — Goossens:2003:GTQ]
Kees Goossens, John Dielissen, Jef van Meerbergen, Peter Poplavko, Andrei Radulescu, Edwin Rijpkema, Erwin Waterlander, and Paul Wielage. Guaranteeing the quality of services in networks on chip. In Axel Jantsch and Hannu Tenhunen, editors, Networks on Chip, chapter 4, pages 61–82. Kluwer, 2003.
[118 — Goossens:2004:IAM]
Kees Goossens, Om Prakash Gangwal, Jens Röver, and A. P. Niranjan. Interconnect and memory organization in SOCs for advanced set-top boxes and TV — evolution, analysis, and trends. In Jari Nurmi, Hannu Tenhunen, Jouni Isoaho, and Axel Jantsch, editors, Interconnect-Centric Design for Advanced SoC and NoC, chapter 15, pages 399–423. Kluwer, April 2004.
[119 — Goossens:2005:ADF]
Kees Goossens, John Dielissen, Om Prakash Gangwal, Santiago González Pestana, Andrei Radulescu, and Edwin Rijpkema. A design flow for application-specific networks on chip with guaranteed performance to accelerate SOC design and verification. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), pages 1182–1187, March 2005.
[120 — Goossens:2005:TAN]
Kees Goossens, John Dielissen, and Andrei Radulescu. The Æthereal network on chip: Concepts, architectures, and implementations. IEEE Design and Test of Computers, 22(5), September-October 2005.
[121 — Goossens:2005:SBD]
Kees Goossens, Santiago González Pestana, John Dielissen, Om Prakash Gangwal, Jef van Meerbergen, Andrei Radulescu, Edwin Rijpkema, and Paul Wielage. Service-based design of systems on chip and networks on chip. In Peter van der Stok, editor, Dynamic and Robust Streaming In And Between Connected Consumer-Electronics Devices, volume 3 of Philips Research Book Series, chapter 2, pages 37–60. Springer, 2005.
[122 — Goossens:2007:TBC]
Kees Goossens, Bart Vermeulen, Remco van Steeden, and Martijn Bennebroek. Transaction-based communication-centric debug. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[123 — Goossens:2001:APA]
K. G. W. Goossens. A protocol and memory manager for on-chip communication. In International Symposium on Circuits and Systems, volume II, pages 225–228, Sydney, May 2001. IEEE Circuits and Systems Society.
[124 — Goossens:2005:FMF]
Kees Goossens. Formal methods for networks on chips. In Proc. Int'l Conference on Application of Concurrency to System Design (ACSD), pages 188–189, June 2005.
[125 — Goossens:2005:NOC]
Kees Goossens. Networks on chip for consumer electronics. In Proc. Int'l Summer School on Advanced Computer Architecture and Compilation for Embedded Systems (ACACES), pages 227–230, July 2005.
[126 — Gratz:2007:IED]
Paul Gratz, Karthikeyan Sankaralingam, Heather Hanson, Premkishore Shivakumar, Robert McDonald, Stephen Keckler, and Doug Burger. Implementation and evaluation of a dynamically routed processor operand network. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[127 — Greenfield:2007:IRR]
Daniel Greenfield, Arnab Banerjee, Jeong-Gun Lee, and Simon Moore. Implications of rent's rule for noC design and its fault-tolerance. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[128 — Guang:2005:DOF]
Liang Guang. Design of frequency controller for minimizing power consumption in network-on-chip. Master's thesis, School for Information and Communication Technology, Royal Institute of Technology, Stockholm, Sweden, October 2005.
[129 — Guerrier:2000:AGA]
Pierre Guerrier and Alain Greiner. A generic architecture for on-chip packet-switched interconnections. In Proc. of DATE, pages 250–256. ACM Press, 2000. (doi:10.1145/343647.343776)
[130 — Elguindi:2005:NOC]
Nadim El Guindi and Pascal Elsener. Network on chip: PANACEA - A Nostrum integration. Technical report, Swiss Federal Institute of Technology Zurich, February 2005.
[131 — Guz:2006:ELC]
Z. Guz, I. Walter, E. Bolotin, I. Cidon, R. Ginosar, and A. Kolodny. Efficient link capacity and QoS design for network-on-chip. In In Proc. Design, Automation and Test in Europe (DATE), pages 9–14, March 2006.
[132 — Hansson:2007:TCN]
Andreas Hansson and Kees Goossens. Trade-offs in the configuration of a network on chip for multiple use-cases. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[133 — Hansson:2005:AUA]
Andreas Hansson, Kees Goossens, and Andrei Radulescu. A unified approach to constrained mapping and routing on network-on-chip architectures. In Int'l Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), September 2005.
[134 — Harmanci:2005:QMA]
Mehmet Harmanci, Nuria Pazos Escudero, Yusuf Leblebici, and Paolo Ienne. Quantitative modelling and comparison of communication schemes to guarantee quality-of-service in networks-on-chip. In Proc. IEEE Int'l Symposium on Circuits and Systems (ISCAS), 2005.
[135 — Haurylau:2005:OCO]
M. Haurylau, H. Chen, J. Zhang, G. Chen, N. A. Nelson, D. H. Albonesi, E. G. Friedman, and P. M. Fauchet. On-chip optical interconnect roadmap: Challenges and critical directions. In Proceedings of the IEEE International Conference on Group IV Photonics, pages 17–19, 2005.
[136 — Hemani:2000:NOC]
Ahmed Hemani, Axel Jantsch, Shashi Kumar, Adam Postula, Johnny Öberg, Mikael Millberg, and Dan Lindqvist. Network on chip: An architecture for billion transistor era. In Proceeding of the IEEE NorChip Conference, November 2000.
[137 — Henkel:2004:OCN]
Jörg Henkel, Wayne Wolf, and Srimat T. Chakradhar. On-chip networks: A scalable, communication-centric embedded system design paradigm. In 17th International Conference on VLSI Design, pages 845–, 2004.
[138 — Heo:2005:RGW]
Seongmoo Heo and Krste Asanovic. Replacing global wires with an on-chip network: a power analysis. In ISLPED '05: Proc. of the 2005 Intl. Symp. on Low Power Electronics and Design, pages 369–374, New York, NY, USA, 2005. ACM Press.
[139 — Ho:2003:AMF]
Wai Hong Ho and Timothy Mark Pinkston. A Methodology for Designing Efficient On-Chip Interconnects on Well-Behaved Communication Patterns . In The Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), page 377, February 2003.
[140 — Ho:2001:TFO]
R. Ho, K. Mai, and M. Horowitz. The future of wires. Proceedings of the IEEE, 89(4):490–504, April 2001.
[141 — Ho:2003:EOG]
Ron Ho, Ken Mai, and Mark Horowitz. Efficient on-chip global interconnects. In Symposium on VLSI circuits, 2003.
[142 — Ho:2004:LWA]
R. Ho, J. Gainsley, and R. Drost. Long wires and asynchronous control. In The 10th IEEE International Symposium on Asynchronous Circuits and Systems, 2004.
[143 — Ho:2003:OCW]
Ron Ho. On-Chip Wires: Scaling and Efficiency. PhD thesis, Stanford University, 2003.
[144 — Hoffman:2007:ASC]
Jeffrey Hoffman, David Arditti Ilitzky, Anthony Chun, and Aliaksei Chapyzhenka. Architecture of the scalable communications core. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[145 — Hollis:2006:AAE]
Simon Hollis and Simon W. Moore. An area-efficient, pulse-based interconnect. In IEEE International Symposium on Circuits and Systems (ISCAS), May 2006.
[146 — Holsmark:2006:DFR]
R. Holsmark, M. Palesi, and S. Kumar. Deadlock free routing algorithms for mesh topology NoC systems with regions. In Proc. 9th EUROMICRO Conference on Digital System Design, Architectures, Methods and Tools (DSD), September 2006.
[147 — Homma:2005:VAB]
Yuya Homma, Michitaka Kameyama, Yoshichika Fujioka, and Nobuhiro Tomabechi. VLSI architecture based on packet data transfer scheme and its application. In Proc. IEEE Int'l Symposium on Circuits and Systems (ISCAS), 2005.
[148 — Hosseinabady:2006:ACT]
M Hosseinabady, A Banaiyan, M N Bojnordi, and Z Navabi. A concurrent testing method for NoC switches. In In Proc. Design, Automation and Test in Europe (DATE), March 2006.
[149 — Hu:2003:ETR]
J. Hu and R. Marculescu. Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures. In Proc. Design, Automation and Test in Europe Conference, 2003.
[150 — Hu:2004:ASB]
Jingcao Hu and Radu Marculescu. Application ­specific buffer space allocation for networks­on­chip router design. In Proc. IEEE/ACM Intl. Conf. on Computer Aided Design, San Jose, CA, November 2004.
[151 — Hu:2004:DSR]
Jingcao Hu and Radu Marculescu. DyAD smart routing for networks-­on-­chip. In Design Automation Conference, June 2004.
[152 — Hu:2005:EPM]
Jingcao Hu and Radu Marculescu. Energy- and performance-aware mapping for regular noC architectures. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 24(4), April 2005.
[153 — Hu:2005:PSO]
Yuanfang Hu, Hongyu Chen, Yi Zhu, Andrew A. Chien, and Chung-Kuan Cheng. Physical synthesis of energy-efficient networks-on-chip through topology exploration and wire style optimization. In Proceedings of the Intl. Conf. on Computer Design (ICCD), 2005.
[154 — Hu:2003:EAM]
Radu Marculescu Jingcao Hu. Energy-aware mapping for tile-based noC architectures under performance constraints. In Proc. Design Automation Conference. Asia and South Pacific (ASP-DAC), January 2003.
[155 — Hung:2004:TAI]
W. Hung, C. Addo-Quaye, T. Theocharides, Y. Xie, N. Vijaykrishnan, and M. J. Irwin. Thermal-aware IP virtualization and placement for networks-on-chip architecture. In Proc. of the Intl. Conf. on Computer Design (ICCD), 2004.
[156 — Ivanov:2005:TNO]
A. Ivanov and G. De Micheli. The Network-on-Chip Paradigm in Practice and Research. Design & Test of Computers, 22(5):399–403, 2005.
[157 — Jalabert:2004:XAT]
Antoine Jalabert, Srinivasan Murali, Luca Benini, and Giovanni De Micheli. xpipesCompiler: A tool for instantiating application specific Networks on Chip. In Design, Automation and Test in Europe (DATE), Paris, France, February 2004.
[158 — Jantsch:2003:NOC]
Axel Jantsch and Hannu Tenhunen, editors. Networks on Chip. Kluwer Academic Publishers, February 2003.
[159 — Jantsch:2003:WNO]
Axel Jantsch and Hannu Tenhunen. Will networks on chip close the productivity gap?. In Axel Jantsch and Hannu Tenhunen, editors, Networks on Chip, chapter 1, pages 3–18. Kluwer Academic Publishers, February 2003.
[160 — Jantsch:2001:NOC]
Axel Jantsch, Juha-Pekka Soininen, Martti Forsell, Li-Rong Zheng, Shashi Kumar, Mikael Millberg, and Johnny Öberg. Networks on chip. In Workshop at the European Solid State Circuits Conference, September 2001.
[161 — Jantsch:2004:SIO]
Axel Jantsch, Johnny Öberg, and Hannu Tenhunen. Special issue on networks on chip - guest editor's introduction. Journal of Systems Architecture, 50(2-3), Februry 2004.
[162 — Jantsch:2005:PAO]
Axel Jantsch, Robert Lauter, and Arseni Vitkowski. Power analysis of link level and end-to-end data protection on networks on chip. In Proceedings of the IEEE International Symposium on Circuits and Systems, 2005.
[163 — Jantsch:2002:NOC]
Axel Jantsch. Network on chip. In Proceedings of the Conference Radio vetenskap och Kommunication, Stockholm, June 2002.
[164 — Jantsch:2003:NAN]
Axel Jantsch. NoCs: A new contract between hardware and software. In Proceedings of the Euromicro Symposium on Digital System Design, September 2003. Invited keynote.
[165 — Jin:2005:PPC]
Y. Jin, E. J. Kim, , and K. H. Yum. Peak power control for a QoS capable on-chip network. In Proceedings of the 2005 International Conference on Parallel Processing (ICPP), pages 585–592, June 2005.
[166 — Jing:2005:NOC]
Yuanli Jing, Xiaoya Fan, Deyuan Gao, and Jian Hu. Network on chip for parallel DSP architectures. In Proceedings of the Second Intl. Conf. on Embedded Software and Systems (ICESS), 2005.
[167 — Kahng:1998:ITS]
A. B. Kahng, S. Muddu, E. Sarto, and R. Sharma. Interconnect tuning strategies for high-performance ICs. In Conference on Design, Automation and Test in Europe (DATE), pages 471–478, 1998.
[168 — Kahng:2000:OSF]
Andrew B. Kahng, Sudhakar Muddu, and Egino Sarto. On switch factor based analysis of coupled RC interconnects. In Proc. of the 37th conference on Design automation (DAC), pages 79–84, 2000.
[169 — Kariniemi:2003:NAR]
Heikki Kariniemi and Jari Nurmi. New adaptive routing algorithm for extended generalized fat trees on-chip. In Proc. International Symposium on System-on-Chip, pages 113–188, Tampere, Finland, 2003.
[170 — Kariniemi:2003:NRA]
Heikki Kariniemi and Jari Nurmi. New routing algorithm for improving the throughput of fat tree interconnection networks. In Proc. International Conference on Computer Science and Technology, Cancun, Mexico, 2003.
[171 — Kariniemi:2003:PEO]
Heikki Kariniemi and Jari Nurmi. Performance evaluation of three arbiters for internally buffered crossbar switch. In Proc. International Conference on Computer Science and Technology, Cancun, Mexico, 2003.
[172 — Kariniemi:2004:PEA]
Heikki Kariniemi and Jari Nurmi. Performance evaluation and implementation of two adaptive routing algorithms for XGFT networks. Computing and Informatics, 23(5-6):415–435, 2004.
[173 — Kariniemi:2004:PEI]
Heikki Kariniemi and Jari Nurmi. Performance evaluation and implementation of two adaptive routing algorithms for XGFT networks. In Proc. The 7th IEEE International Workshop on Design and Diagnostics of Electronic Circuits and Systems (DDECS'04), 2004.
[174 — Kariniemi:2004:RXI]
Heikki Kariniemi and Jari Nurmi. Reusable XGFT interconnect IP for network-on-chip implementations. In Proc. International Symposium on System-on-Chip SoC2004, pages 95–102, Tampere, Finland, 2004.
[175 — Kariniemi:2005:FTX]
Heikki Kariniemi and Jari Nurmi. Fault-tolerant XGFT network-on-chip for multi-processor system-on-chip circuits. In Proc. International Conference on Field Programmable Logic and Applications, pages 203–210, Tampere, Finland, 2005.
[176 — Kariniemi:2005:VMS]
Heikki Kariniemi and Jari Nurmi. Versatile modular switch architecture for improving the QOS of the XGFT network-on-chip. In Proc. The 8th IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems (DDECS), pages 105–112, Sopron, Hungary, 2005.
[177 — Kavaldjiev:2003:ASO]
N. K. Kavaldjiev and G. J. M. Smit. A survey of efficient on-chip communications for soC. In 4th PROGRESS Symp. on Embedded Systems, Nieuwegein, Netherlands, pages 129–140. STW Technology Foundation, October 2003.
[178 — Kavaldjiev:2004:AEE]
N. K. Kavaldjiev and G. J. M. Smit. An energy-efficient network-on-chip for a heterogeneous tiled reconfigurable system-on-chip. In EUROMICRO Symp. on Digital System Design (DSD), Rennes, France, pages 492–498. IEEE Computer Society Press, September 2004.
[179 — Kavaldjiev:2004:TAF]
N. K. Kavaldjiev, G. J. M. Smit, and P. G. Jansen. Two architectures for on-chip virtual channel router. In 5th PROGRESS Symp. on Embedded Systems, Nieuwegein, the Netherlands, pages 90–95. STW Technology Foundation, October 2004.
[180 — Kavaldjiev:2004:AVC]
N. K. Kavaldjiev, G. J. M. Smit, and P. G. Jansen. A virtual channel router for on-chip networks. In IEEE Int. SOC Conf., Santa Clara, California, pages 289–293. IEEE Computer Society Press, September 2004.
[181 — Kavaldjiev:2005:TOS]
N. K. Kavaldjiev, G. J. M. Smit, and P. G. Jansen. Throughput of streaming applications running on a multiprocessor architecture. In EUROMICRO Symposium on Digital System Design, Porto, Portugal, pages 350–355. IEEE Computer Society Press, September 2005.
[182 — Khatib:2005:WNO]
Iyad Al Khatib, Axel Jantsch, Bassam Kayal, Rustam Nabiev, and Sven Jonsson. Wireless network-on-chips as autonomous systems: A novel solution for biomedical healthcare and space exploration sensor-networks. In Proceedings of the Infocom 2005 Conference - Student Workshop, March 2005.
[183 — Khatib:2005:SOR]
Iyad Al Khatib, Axel Jantsch, and Mohammad Saleh. Simulation of real home healthcare sensor networks utilizing IEEE 802.11g biomedical network-on-chip. In Proceedings of REALWAN, Stockholm, 2005.
[184 — Khatib:2006:MEB]
Iyad Al Khatib, Davide Bertozzi, Francesco Poletti, Luca Benini, Axel Jantsch, Mohamed Bechara, Hasan Khalifeh, Mazen Hajjar, Rustam Nabiev, and Sven Jonsson. MPSoC ECG biochip: A multiprocessor System-on-Chip for real-time human heart monitoring and analysis. In Proceedings of the ACM Computing Frontiers, 2006.
[185 — Kim:2003:EOT]
E. J. Kim, K. H. Yum, G. M. Link, C. R. Das, N. Vijaykrishnan, Mahmut Kandemir, and Mary Jane Irwin. Energy Optimization Techniques in Cluster Interconnects. In International Symposium on Low Power Electronics and Design (ISLPED'03), August 2003.
[186 — Kim:2003:ECO]
J. S. Kim, M. B. Taylor, J. Miller, and D. Wentzlaff. Energy characterization of a tiled architecture processor with on-chip networks. In Proc. of the Intl. Symp. on Low power Electronics and Design (ISLPED'03), 2003.
[187 — Kim:2005:AFI]
Daewook Kim, Manho Kim, and Gerald E. Sobelman. Asynchronous FIFO interfaces for GALS on-chip switched networks. In Proc. Intl. SoC Design Conference, pages 186–189, 2005.
[188 — Kim:2005:ARC]
Donghyun Kim, Kangmin Lee, Se-Joong Lee, and Hoi-Jun Yoo. A reconfigurable crossbar switch with adaptive bandwidth control for networks-on-chip. In Proc. IEEE Int'l Symposium on Circuits and Systems (ISCAS), 2005.
[189 — Kim:2005:DAA]
J. Kim, D. Park, C. Nicopoulus, N. Vijaykrishnan, and C. Das. Design and analysis of an NoC architecture from performance, reliability and energy perspective. In First Symposium on Architectures for Networking and Communication Systems., October 2005.
[190 — Kim:2005:ALL]
J. Kim, D. Park, T. Theochar, N. Vijaykrishnan, and C. R. Das. A low latency router supporting adaptivity for on-chip interconnects. In Proceedings of the 42nd Design Automation Conference (DAC), 2005.
[191 — Kim:2005:AAL]
Kwanho Kim, Se-Joong Lee, Kangmin Lee, and Hoi-Jun Yoo. An arbitration look-ahead scheme for reducing end-to-end latency in networks-on-chip. In Proc. IEEE Int'l Symposium on Circuits and Systems (ISCAS), 2005.
[192 — Kim:2006:AGD]
Jongman Kim, C. Nicopoulos, and Dongkook Park. A gracefully degrading and energy-efficient modular router architecture for on-chip networks. In Proc. of the 33st Annual Intl. Symp. on Computer Architecture (ISCA), 2006.
[193 — Kim:2007:SRC]
Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seung-Jin Lee, and Hoi-Jun Yoo. Solutions for real chip implementation issues of NoC and their application to memory-centric NoC. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[194 — KochHofer:2007:ASC]
Cedric Koch-Hofer, Marc Renaudin, Vvain Thonnart, and Pascal Vivet. ASC, a SystemC extension for modeling asynchronous systems, and its application to an asynchronous NoC. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[195 — Krashinsky:2004:TVT]
Ronny Krashinsky, Christopher Batten, Mark Hampton, Steve Gerding, Brian Pharris, Jared Casper, and Krste Asanovic. The Vector-Thread Architecture. In 31st International Symposium on Computer Architecture (ISCA-31), Munich, Germany, June 2004.
[196 — Kumar:2002:ANO]
Shashi Kumar, Axel Jantsch, Juha-Pekka Soininen, Martti Forsell, Mikael Millberg, Johnny Öberg, Kari Tiensyrjä, and Ahmed Hemani. A network on chip architecture and design methodology. In Proceedings of IEEE Computer Society Annual Symposium on VLSI, April 2002.
[197 — Kumar:2007:EVC]
Amit Kumar, Li-Shiuan Peh, Partha Kundu, and Niraj K. Jha. Express virtual-channels: towards the ideal interconnection fabric. In Proc. of the 34th Intl. Symp. on Computer Architecture (ISCA-34), 2007.
[198 — Kumar:2003:OPS]
Shashi Kumar. On packet switched networks for on-chip communication. In Axel Jantsch and Hannu Tenhunen, editors, Networks on Chip, chapter 5, pages 85–106. Kluwer Academic Publishers, February 2003.
[199 — Laffely:2001:ASO]
Andrew Laffely, Jian Liang, Prashant Jain, Ning Weng, Wayne Burleson, and Russell Tessier. Adaptive system on a chip (aSoC) for low-power signal processing. In Thirty-Fifth Asilomar Conference on Signals, Systems, and Computers, November 2001.
[200 — Laffely:2003:ASO]
A. Laffely, J. Liang, R. Tessier, and W. Burleson. Adaptive system on a chip: A backbone for power-aware signal processing cores. In Proc. of the IEEE Conference on Image Processing, Barcelona, Spain, September 2003.
[201 — Lavagno:2004:BTS]
L. Lavagno and S. W. Moore. Breaking the synchronous barrier for systems-on-chip communication and synchronization. Tutorial at Design Automation Test Europe (DATE), 2004.
[202 — Lee:2006:DSE]
Hyung Gyu Lee, Umit Y. Ogras, Radu Marculescu, and Naehyuck Chang. Design space exploration and prototyping for on-chip multimedia applications. In Proc. ACM/IEEE Design Automation Conf., San Francisco, July 2006.
[203 — Lee:2006:LNF]
Kangmin Lee, Se-Joong Lee, and Hoi-Jun Yoo. Low-power network-on-chip for high-performance SoC design. IEEE Transactions on VLSI Systems, 14(2), February 2006.
[204 — Lee:2007:OCA]
Hyung Gyu Lee, Naehyuck Chang, Umit Y. Ogras, and Radu Marculescu. On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches. ACM Trans. on Design Automation of Electronic Systems (TODAES), 12(3), August 2007.
[205 — Li:2006:ESP]
F. Li, M. Kandemir, and I. Kolcu. Exploiting software pipelining for network-on-chip architectures. In IEEE Computer Society Annual Symposium on VLSI, March 2006.
[206 — Li:2005:EOP]
Tong Li. Estimation of power consumption in wormhole routed networks on chip. Master's thesis, Institute of Microelectronics and Information Technology, Royal Institute of Technology (KTH), April 2005.
[207 — Liang:2000:AAS]
Jian Liang, S. Swaminathan, and R. Tessier. aSOC: A Scalable, Single-Chip Communications Architecture. In the IEEE International Conference on Parallel Architectures and Compilation Techniques, pages 524–529, October 2000.
[208 — Lines:2003:NAA]
Andrew Lines. Nexus: An Asynchronous Crossbar Interconnect for Synchronous System-on-Chip Designs. In Proceedings of the 11th Symposium on High Performance Interconnects, 2003.
[209 — Liu:2006:RBT]
C. Liu, Z. Link, and Dhiraj Pradhan. Reuse-based test access and integrated test scheduling for network-on-chip. In Design, Automation and Test in Europe Conference and Exhibition. DATE 2006, March 2006.
[210 — Liu:2005:ITP]
Ming Liu. Improving the performance of a wormhole router and wormhole flow control. Master's thesis, School for Information and Communication Technology, Royal Institute of Technology, Stockholm, Sweden, December 2005.
[211 — Lu:2003:NAP]
Zhonghai Lu and Raimo Haukilahti. NoC application programming interfaces. In Axel Jantsch and Hannu Tenhunen, editors, Networks on Chip, chapter 12, pages 239–260. Kluwer Academic Publishers, February 2003.
[212 — Lu:2003:NOC]
Zhonghai Lu and Axel Jantsch. Network-on-chip assembler language. Technical Report TRITA-IMIT-LECS R 03:02, version 1.0, Institute of Microelectronics and Information Technology, Royal Institute of Technology (KTH), Stockholm, Sweden, June 2003.
[213 — Lu:2004:FAI]
Zhonghai Lu and Axel Jantsch. Flit admission in on-chip wormhole-switched networks with virtual channels. In Proceedings of the International Symposium on System-on-Chip 2003, November 2004.
[214 — Lu:2004:FEI]
Zhonghai Lu and Axel Jantsch. Flit ejection in on-chip wormhole-switched networks with virtual channels. In Proceedings of the IEEE NorChip Conference, November 2004.
[215 — Lu:2005:TCF]
Zhonghai Lu and Axel Jantsch. Traffic configuration for evaluating networks on chips. In Proceedings of the 5th International Workshop on Systems on Chip (IWSOC), July 2005.
[216 — Lu:2005:FAO]
Zhonghai Lu, Axel Jantsch, and Ingo Sander. Feasibility analysis of messages for on-chip networks using wormhole routing. In Proceedings of the Asian Pacific Design Automation Conference, 2005.
[217 — Lu:2005:ROA]
Zhonghai Lu, Ingo Sander, and Axel Jantsch. Refinement of A perfectly synchronous communication model onto Nostrum NoC best-effort communication. In Proceedings of the Forum on Design Languages, September 2005.
[218 — Lu:2005:NNN]
Zhonghai Lu, Rikard Thid, Mikael Millberg, Erland Nilsson, and Axel Jantsch. NNSE: Nostrum network-on-chip simulation environment. In Swedish System-on-Chip Conference (SSoCC'03), April 2005.
[219 — Lu:2005:APE]
Zhonghai Lu, Li Tong, Bei Yin, and Axel Jantsch. A power efficient flit-admission scheme for wormhole-switched networks on chip. In Proceedings of the 9th World Multi-Conference on Systemics, Cybernetics and Informatics, July 2005.
[220 — Lu:2006:RSC]
Zhonghai Lu, Ingo Sander, and Axel Jantsch. Refining synchronous communication onto network-on-chip best-effort services. In Alain Vachoux, editor, Advances in Design and Specification Languages for SoCs - Selected Contributions from FDL 2005. Springer Verlag, 2006.
[221 — Lu:2006:COM]
Zhonghai Lu, Bei Yin, and Axel Jantsch. Connection-oriented multicasting in wormhole-switched networks on chip. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI, March 2006.
[222 — Lu:2006:EOO]
Zhonghai Lu, Mingchen Zhong, and Axel Jantsch. Evaluation of onchip networks using deflection routing. In Proceedings of GLSVLSI, 2006.
[223 — Lu:2005:AUI]
Zhonghai Lu. A User Introduction to NNSE: Nostrum Network-on-Chip Simulation Environment. Royal Institute of Technology, Stockholm, November 2005.
[224 — Mazhe:2007:SMR]
Z. Ma, P. Marchal, D. P. Scarpazza, P. Yang, C. Wong, J. I. Gomez, S. Himpe, C. Ykman-Couvreur, and F. Catthoor. Systematic Methodology for Real-Time Cost-Effective Mapping of Dynamic Concurrent Task-Based Systems on Heterogeneous Platforms. Springer, 2007.
[225 — Mahadevan:2005:ANT]
Shankar Mahadevan, Federico Angiolini, Michael Storgaard, Ramus Grøndahl Olsen, Jens Sparsø, and Jan Madsen. A network traffic generator model for fast network-on-chip simulation. In Proceedings of Design, Automation and Testing in Europe Conference 2005 (DATE05). IEEE, 2005.
[226 — Mak:2007:HAD]
Terrence S. T. Mak, Pete Sedcole, Peter Y. K. Cheung, Wayne Luk, and Kai-Pui Lam. A hybrid analog-digital routing network for noC dynamic routing. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[227 — Marculescu:2009:TCI]
Radu Marculescu and Paul Bogdan. The chip is the network: Toward a science of network-on-chip design. Foundations and Trends in Electronic Design Automation, pages pp. 371–461, March 2009.
[228 — Marculescu:2009:ORP]
R. Marculescu, U. Y. Ogras, Li-Shiuan Peh, N. E. Jerger, and Y. Hoskote. Outstanding research problems in NoC design: System, microarchitecture, and circuit perspectives. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 28(1):3–21, January 2009. (doi:10.1109/TCAD.2008.2010691)
[229 — Marculescu:2003:NOC]
Radu Marculescu. Networks-On-Chip: The Quest for On-Chip Fault-Tolerant Communication. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI'03), 2003.
[230 — Marculescu:2004:EFT]
Radu Marculescu. Energy, fault-tolerance, and scalability issues in designing network-on-chip. Tutorial at ASP-DAC, January 2004.
[231 — Marescaux:2002:INE]
T. Marescaux, A. Bartic, D. Verkest, S. Vernalde, and R. Lauwereins. Interconnection networks enable fine-grain dynamic multi-tasking on FPGAs. In Field-Programmable Logic and Applications (FPL), Montpellier, September 2002.
[232 — Marescaux:2003:NHC]
T. Marescaux, J-Y. Mignolet, A. Bartic, W. Moffat, D. Verkest, S. Vernalde, and R. Lauwereins. Networks on Chip as Hardware Components of an OS for Reconfigurable Systems. In Field-Programmable Logic and Applications, volume 2778/2003 of Lecture Notes in Computer Science, pages 595–605. Springer Berlin / Heidelberg, 2003. (doi:10.1007/b12007)
[233 — Marescaux:2004:RTS]
T. Marescaux, V. Nollet, J.-Y. Mignolet, A. Bartic, W. Moffat, P. Avasare, P. Coene, D. Verkest, S. Vernalde, and R. Lauwereins. Run-time support for heterogeneous multitasking on reconfigurable SoCs. Integration, the VLSI journal, 38(1):107–130, 2004. (doi:10.1016/j.vlsi.2004.03.002)
[234 — Marescaux:2005:DTS]
Theodore Marescaux, Benjamin Bricke, Peter Debacker, Vincent Nollet Nollet, and Henk Corporaal. Dynamic Time-Slot Allocation for QoS Enabled Networks on Chip. In Proc. IEEE 3rd Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia), pages 47–52, New York, USA, September 2005. IEEE.
[235 — Marescaux:2005:DCC]
Theodore Marescaux, Anders Rangevall, Vincent Nollet, Andrei Bartic, and Henk Corporaal. Distributed congestion control for packet switched networks on chip. In Parallel Computing Conference (ParCo´05), Proceedings, Malaga, Spain, September 2005.
[236 — Marescaux:2007:IHC]
Theodore Marescaux, Erik Brockmeyer, and Henk Corporaal. The impact of higher communication layers on NoC supported MP-SoC. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[237 — Marrakchi:2007:MTU]
Zied Marrakchi, Hayder Mrabet, Christian Masson, and Habib Mehrez. Mesh of tree: Unifying mesh and MFPGA for better device performances. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[238 — Marty:2006:COF]
Michael R. Marty and Mark D. Hill. Coherence ordering for ring-based chip multiprocessors. In Proc. of the 39th Annual Intl. Symp. on Microarchitecture (MICRO), 2006.
[239 — Michelogiannakis:2007:AIN]
George Michelogiannakis, Dionisios Pnevmatikatos, and Manolis Katevenis. Approaching ideal NoC latency with pre-configured routes. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[240 — Millberg:2004:GBU]
Mikael Millberg, Erland Nilsson, Rikard Thid, and Axel Jantsch. Guaranteed bandwidth using looped containers in temporally disjoint networks within the Nostrum network on chip. In Proceedings of the Design Automation and Test Europe Conference (DATE), February 2004.
[241 — Millberg:2004:TNB]
Mikael Millberg, Erland Nilsson, Rikard Thid, Shashi Kumar, and Axel Jantsch. The Nostrum backbone - a communication protocol stack for networks on chip. In Proceedings of the VLSI Design Conference, Mumbai, India, January 2004.
[242 — Millberg:2002:TNP]
Mikael Millberg. The nostrum protocol stack and suggested services provided by the nostrum backbone. Technical Report TRITA-IMIT-LECSR02:01, Institute of Microelectronics and Information Technology, Royal Institute of Technology (KTH), Stockholm, Sweden, November 2002. Draft v 0.1.48.
[243 — Mizuno:2001:EIR]
M. Mizuno, , W. J. Dally, and H. Onishi. Elastic interconnects: repeater-inserted long wiring capable of compressing and decompressing data. In 2001 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pages 346–347, 464, 2001.
[244 — Morgenshtein:2004:MRS]
A. Morgenshtein, E. Bolotin, I. Cidon, R. Ginosar, and A. Kolodny. Micro-modem - reliability solution for NoC communications. In Proc. of the 11th IEEE Intl. Conf. on Electronics, Circuits and Systems (ICECS), pages 483–486, December 2004.
[245 — Morgenshtein:2004:CAS]
A. Morgenshtein, I. Cidon, A. Kolodny, and R. Ginosar. Comparative analysis of serial and parallel links in networks-on-chip. In Proc. of the Intl. Symp. on System-on-Chip, pages 185–188, November 2004.
[246 — Morgenshtein:2005:LLR]
A. Morgenshtein, I. Cidon, A. Kolodny, and R. Ginosar. Low-leakage repeaters for NoC interconnects. In Proceedings of the IEEE Intl. Symp. on Circuits and Systems (ISCAS), pages 600–603, May 2005.
[247 — Mukherjee:2002:TAT]
S. S. Mukherjee, P. Bannon, S. Lang, A. Spink, and D. Webb. The alpha 21364 network architecture. IEEE Micro, 22(1), January 2002.
[248 — Mullins:2004:LLV]
Robert D. Mullins, Andrew F. West, and Simon W. Moore. Low-latency virtual-channel routers for on-chip networks. In Proc. of the 31st Annual Intl. Symp. on Computer Architecture (ISCA), pages 188–197, 2004.
[249 — Mullins:2005:SAT]
Robert Mullins, Jeong-Gun Lee, and Simon Moore. Selecting a timing regime for on-chip networks. In Proc. of the 17th UK Async. Forum, 2005.
[250 — Mullins:2006:TDA]
Robert D. Mullins, Andrew F. West, and Simon W. Moore. The design and implementation of a low-latency on-chip network. In Proceedings of the 11th Asia and South Pacific Design Automation Conference (ASP-DAC), 2006.
[251 — Mullins:2005:AVS]
Robert D. Mullins. Asynchronous versus synchronous design techniques for NoCs. Tutorial at the International Symposium on System-on-Chip, 2005.
[252 — Mullins:2006:MDP]
Robert Mullins. Minimising dynamic power consumption in on-chip networks. In Proceedings of the Intl. Symp. on System-on-Chip, Tampere, Finland, November 2006.
[253 — cl-noc-bib]
Robert D. Mullins. An on-chip network bibliography, 2007.
[254 — Murali:2005:AOE]
S. Murali, G. De Micheli, L. Benini, T. Theocharides, N. Vijaykrishnan, and M. J. Irwin. Analysis of Error Recovery Schemes for Networks on Chips. Design & Test of Computers, 22(5):434–442, 2005.
[255 — Murali:2006:MAC]
Srinivasan Murali, Martijn Coenen, Andrei Radulescu, Kees Goossens, and Giovanni De Micheli. Mapping and configuration methods for multi-use-case networks on chips. In Proc. Design Automation Conference. Asia and South Pacific (ASP-DAC), January 2006.
[256 — Murali:2006:AMF]
Srinivasan Murali, Martijn Coenen, Andrei Radulescu, Kees Goossens, and Giovanni De Micheli. A methodology for mapping multiple use-cases on to networks on chip. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2006.
[257 — Muralimanohar:2006:TEO]
N. Muralimanohar and R. Balasubramonian. The Effect of Interconnect Design on the Performance of Large L2 Caches. In Proceedings of the 3rd IBM Watson Conference on Interaction between Architecture, Circuits, and Compilers, October 2006.
[258 — Muralimanohar:2007:IDC]
N. Muralimanohar and R. Balasubramonian. Interconnect Design Considerations for Large NUCA Caches. In Proceedings of the 34th International Symposium on Computer Architecture (ISCA-34), June 2007.
[259 — Nam:2001:DCS]
Seung Yeob Nam and Dan Keun Sung. Decomposed crossbar switches with multiple input and output buffers. In Proc. of IEEE GLOBECOM, 2001.
[260 — Ngo:2005:DON]
Vu-Duc Ngo, Huy Nam Nguyen, and Hae-Wook Choi. Designing on-chip network based on optimal latency criteria. In Proceedings of the Second Intl. Conf. on Embedded Software and Systems (ICESS), 2005.
[261 — Nicopoulos:2006:VAD]
Chrysostomos A. Nicopoulos, Dongkook Park, Jongman Kim, Narayanan Vijaykrishnan, Mazin S. Yousif, and Chita R. Das. ViChaR: A dynamic virtual channel regulator for network-on-chip routers. In Proc. of the 39th Annual Intl. Symp. on Microarchitecture (MICRO), 2006.
[262 — Nielsen:2005:EOR]
Karl-Henrik Nielsen. Evaluation of real-time performance models in wormhole-routed on-chip networks. Master's thesis, Institute of Microelectronics and Information Technology, Royal Institute of Technology (KTH), Stockholm, Sweden, April 2005.
[263 — Nieuwland:2002:CHA]
André Nieuwland, Jeffrey Kang, Om Prakash Gangwal, Ramanathan Sethuraman, Natalino Busá, Kees Goossens, Rafael Peset Llopis, and Paul Lippens. C-HEAP: A heterogeneous multi-processor architecture template and scalable and flexible protocol for the design of embedded signal processing systems. Design Automation for Embedded Systems, 7(3):233–270, 2002.
[264 — Nilsson:2004:RPP]
Erland Nilsson and Johnny Öberg. Reducing peak power and latency in 2-D mesh NoCs using globally pseudochronous locally synchronous clocking. In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, September 2004.
[265 — Nilsson:2005:TOP]
Erland Nilsson and Johnny Öberg. Trading off power versus latency using GPLS clocking in 2D-mesh NoCs. In Proceedings of the International Symposium on Signals, Circuits and Systems (ISSCS), July 2005.
[266 — Nilsson:2003:LDW]
Erland Nilsson, Mikael Millberg, Johnny Öberg, and Axel Jantsch. Load distribution with the proximity congestion awareness in a network on chip. In Proceedings of the Design Automation and Test Europe (DATE), pages 1126–1127, March 2003.
[267 — Nilsson:2002:DAI]
Erland Nilsson. Design and implementation of a hot-potato switch in a network on chip. Master's thesis, Department of Microelectronics and Information Technology, Royal Institute of Technology, IMIT/LECS 2002-11, Stockholm, Sweden, June 2002.
[268 — Nilsson:2003:EOT]
Erland Nilsson. Experiments of the proximity congestion awareness with the nostrum backbone. In Proceedings of SSoCC, 2003.
[269 — Nollet:2004:OSC]
Vincent Nollet, Théodore Marescaux, Diederik Verkest, Jean-Yves Mignolet, and Serge Vernalde. Operating-system controlled network-on-chip. In Proceedings of the 41st annual conference on Design automation (DAC), pages 256–259. ACM Press, June 2004.
[270 — Nollet:2005:CRT]
V. Nollet, T. Marescaux, P. Avasare, and J-Y. Mignolet. Centralized run-time resource management in a network-on-chip containing reconfigurable hardware tiles. In DATE '05: Proceedings of the conference on Design, Automation and Test in Europe, pages 234–239, Washington, DC, USA, 2005. IEEE Computer Society.
[271 — Nurmi:2004:ICD]
Jari Nurmi, Hannu Tenhunen, Jouni Isoaho, and Axel Jantsch, editors. Interconnect-Centric Design for Advanced SoC and NoC. Kluwer Academic Publishers, 2004.
[272 — Oberg:2003:CSF]
Johnny Öberg. Clocking strategies for networks on chip. In Axel Jantsch and Hannu Tenhunen, editors, Networks on Chip, chapter 8, pages 153–172. Kluwer Academic Publishers, February 2003.
[273 — Ogras:2005:ANA]
Umit Y. Ogras and Radu Marculescu. Application-specific network-on-chip architecture customization via long-range link insertion. In Proc. IEEE/ACM Intl. Conf. on Computer Aided Design, San Jose, CA, November 2005.
[274 — Ogras:2005:EPC]
Umit Y. Ogras and Radu Marculescu. Energy- and performance-driven noC communication architecture synthesis using a decomposition approach. In Proc. Design, Automation and Test in Europe (DATE) Conf., Munich, Germany, March 2005.
[275 — Ogras:2006:ISW]
Umit Y. Ogras and Radu Marculescu. "it's a small world after all": NoC performance optimization via long link insertion. IEEE Trans. on Very Large Scale Integration Systems, Special Section on Hardware/Software Codesign and System Synthesis, 14(7), July 2006.
[276 — Ogras:2006:PFC]
Umit Y. Ogras and Radu Marculescu. Prediction-based flow control for network-on-chip traffic. In Proc. ACM/IEEE Design Automation Conf., San Francisco, July 2006.
[277 — Ogras:2007:ARM]
Umit Y. Ogras and Radu Marculescu. Analytical router modeling for networks-on-chip performance analysis. In Proc. Design, Automation and Test in Europe (DATE) Conf., Nice, France, April 2007.
[278 — Ogras:2005:KRP]
Umit Y. Ogras, Jingcao Hu, and Radu Marculescu. Key research problems in NoC design: A holistic perspective. In Proc. of the Intl. Conf. on Hardware/Software Codesign and System Synthesis, September 2005.
[279 — Ogras:2006:CAO]
Umit Y. Ogras, Radu Marculescu, Hyung Gyu Lee, and Naehyuck Chang. Communication architecture optimization: Making the shortest path shorter in regular networks-on-chip. In Proc. Design, Automation and Test in Europe (DATE) Conf., Munich, Germany, March 2006.
[280 — Ogras:2007:VFI]
Umit Y. Ogras, Radu Marculescu, Puru Choudhary, and Diana Marculescu. Voltage-frequency island partitioning for GALS-based networks-on-chip. In Proc. IEEE/ACM Design Automation Conf. (DAC), San Diego, June 2007.
[281 — Palesi:2006:AMF]
M. Palesi, R. Holsmark, S. Kumar, and V. Catania. A methodology for design of application specific deadlock-free routing algorithms for NoC systems. In Proc. Intl. Conf. on Hardware-Software Codesign and System Synthesis, Seoul, Korea, October 2006.
[282 — Palesi:2006:RTC]
M. Palesi, S. Kumar, and R. Holsmark. A method for router table compression for application specific routing in mesh topology NoC architectures. In Proc. of the SAMOS VI Workshop: Embedded Computer Systems: Architectures, Modeling, and Simulation, July 2006.
[283 — Pamunuwa:2003:LPA]
D. Pamunuwa, J. Öberg, L. R. Zheng, M. Millberg, A. Jantsch, and H. Tenhunen. Layout, performance and power trade-offs in mesh-based network-on-chip architectures. In IFIP International Conference on Very Large Scale Integration (VLSI-SOC), Darmstadt, Germany, December 2003.
[284 — Pamunuwa:2004:ASO]
Dinesh Pamunuwa, Johnny Öberg, Li-Rong Zheng, Mikael Millberg, Axel Jantsch, and Hannu Tenhunen. A study on the implementation of 2-D mesh based networks on chip in the nanoregime. Integration - The VLSI Journal, 38(1):3–17, October 2004.
[285 — Panades:2007:BFS]
Ivan Miro Panades and Alain Greiner. Bi-synchronous FIFO for synchronous circuit communication well suited for network-on-chip in GALS architectures. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[286 — Pande:2005:DSA]
P. P. Pande, G. De Micheli, C. Grecu, A. Ivanov, and R. Saleh. Design, Synthesis, and Test of Network on Chips. Design & Test of Computers, 22(5):404–413, 2005.
[287 — Park:2006:EFT]
Dongkook Park, Chrysostomos Nicopoulos, Jongman Kim, N. Vijaykrishnan, and Chita R. Das. Exploring fault-tolerant network-on-chip architectures. In Proc. of the 2006 Intl. Conf. on Dependable Systems and Networks, June 2006.
[288 — Pavia:2004:DAI]
Juan Mata Pavia. Design and implementation of a fat tree network on chip. Master's thesis, Institute of Microelectronics and Information Technology, Royal Institute of Technology (KTH), Stockholm, Sweden, June 2004.
[289 — Peeters:2004:TAB]
Ad Peeters. The `Asynchronous' Bibliography Homepage. http://www.win.tue.nl/async-bib/async.html.
[290 — Peh:2000:FRF]
Li-Shiuan Peh and William J. Dally. Flit-reservation flow control. In In Proc. of the 6th Int. Symp. on High-Performance Computer Architecture (HPCA), pages 73–84, January 2000.
[291 — Peh:2001:ADM]
Li-Shiuan Peh and William J. Dally. A Delay Model and Speculative Architecture for Pipelined Routers. In Proc. International Symposium on High-Performance Computer Architecture (HPCA), pages 255–266, January 2001.
[292 — Peh:2001:FCA]
Li-Shiuan Peh. Flow control and micro-architectural mechanisms for extending performance of interconnection networks. PhD thesis, Stanford University, 2001.
[293 — Penolazzi:2005:AEP]
Sandro Penolazzi. An empirical power model of the links and the deflective routing switch in nostrum. Master's thesis, School for Information and Communication Technology, Royal Institute of Technology, Stockholm, Sweden, December 2005.
[294 — Gonzalez:2004:CPT]
Santiago González Pestana, Edwin Rijpkema, Andrei Radulescu, Kees Goossens, and Om Prakash Gangwal. Cost-performance trade-offs in networks on chip: A simulation-based approach. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), pages 764–769, February 2004.
[295 — Pinto:2003:ESO]
Alessandro Pinto, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli. Efficient synthesis of networks on chip. In 21st International Conference on Computer Design, page 5, October 2003.
[296 — Pirretti:2004:FTA]
M. Pirretti, G. M. Link, R. R. Brooks, N. Vijaykrishnan, M. Kandemir, and M. J. Irwin. Fault Tolerant Algorithms for Network-On-Chip Interconnect. In Proc. of ISVLSI Design, 2004.
[297 — Pullini:2007:NSI]
Antonio Pullini, Federico Angiolini, Paolo Meloni, David Atienza, Srinivasan Murali, Luigi Raffo, Giovanni De Micheli, and Luca Benini. NoC design and implementation in 65nm technology. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[298 — Qian:2005:IOA]
Xian Qian. Implementation of a JPEG encoder on the Nostrum network-on-chip. Master's thesis, School for Information and Communication Technology, Royal Institute of Technology, Stockholm, Sweden, July 2005.
[299 — Quinton:2005:AII]
Bradley R. Quinton, Mark R. Greenstreet, and Steven J. E. Wilton. Asynchronous IC interconnect network design and implementation using a standard ASIC flow. In Proc. of the 23rd Intl. Conf. on Computer Design (ICCD), 2005.
[300 — Ramani:2004:MTT]
K. Ramani, N. Muralimanohar, and R. Balasubramonian. Microarchitectural Techniques to Reduce Interconnect Power in Clustered Processors. In Proceedings of the 5th Workshop on Complexity-Effective Design, held in conjunction with ISCA-31, June 2004.
[301 — Rauwerda:2004:MWC]
G. K. Rauwerda, P. M. Heysters, and G. J. M. Smit. Mapping wireless communication algorithms onto a reconfigurable architecture. Journal of Supercomputing, 30(3):263–282, December 2004.
[302 — Riihimaki:2002:POT]
J. Riihimaki, E. Salminen, K. Kuusilinna, and T. Hamalainen. Parameter optimization tool for enhancing on-chip network performance. In IEEE International Symposium on Circuits and Systems (ISCAS 2002), volume 4, pages 61–64, 2002.
[303 — Rijpkema:2001:ARA]
Edwin Rijpkema, Kees Goossens, and Paul Wielage. A router architecture for networks on silicon. In Proceedings of Progress 2001, 2nd Workshop on Embedded Systems, Veldhoven, the Netherlands, October 2001.
[304 — Rijpkema:2003:TOI]
E. Rijpkema, K. Goossens, J. Dielissen A. Radulescu, J. van Meerbergen, P. Wielage, and E. Waterlander. Trade offs in the design of a router with both guaranteed and best-effort services for networks on chip. IEE Proceedings: Computers and Digital Technique, 150(5):294–302, September 2003.
[305 — Rijpkema:2003:TOD]
E. Rijpkema, K. G. W. Goossens, A. Radulescu, J. Dielissen, J. van Meerbergen, P. Wielage, and E. Waterlander. Trade offs in the design of a router with both guaranteed and best-effort services for networks on chip. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), pages 350–355, March 2003.
[306 — Rostislav:2005:AAR]
Dobkin Rostislav, Victoria Vishnyakov, Eyal Friedman, and Ran Ginosar. An Asynchronous Router for Multiple Service Levels Network on Chip. In Proceedings of the 11th IEEE International Symposium on Asynchronous Circuits and Systems, 2005.
[307 — Radulescu:2003:CSF]
Andrei Radulescu and Kees Goossens. Communication services for networks on chip. In Shuvra S. Bhattacharyya, Ed F. Deprettere, and Jrgen Teich, editors, Domain-Specific Processors: Systems, Architectures, Modeling, and Simulation, pages 193–213. Marcel Dekker, 2004.
[308 — Radulescu:2004:AEO]
Andrei Radulescu, John Dielissen, Kees Goossens, and Edwin Rijpkema. An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network programming. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), pages 878–883, February 2004.
[309 — Radulescu:2004:AEN]
Andrei Radulescu, John Dielissen, Santiago González Pestana, Om Prakash Gangwal, Edwin Rijpkema, Paul Wielage, and Kees Goossens. An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network programming. IEEE Transactions on CAD of Integrated Circuits and Systems, 24(1):4–17, January 2005.
[310 — Saastamoinen:2001:IIF]
Ilkka Saastamoinen, Teemu Suutari, Jouni Isoaho, and Jari Nurmi. Interconnect IP for gigascale system-on-chip. In Proc. European Conference on Circuit Theory and Design (ECCTD'01), pages 281–284, Finland, 2001.
[311 — Saastamoinen:2002:PII]
Ilkka Saastamoinen, Mikko Alho, Juha Pirttimäki, and Jari Nurmi. Proteo interconnect IPs for networks-on-chip. In Proc. IP Based SoC Design, Grenoble, France, 2002.
[312 — Saastamoinen:2002:IIN]
Ilkka Saastamoinen, David Sigüenza Tortosa, and Jari Nurmi. Interconnect IP node for future system-on-chip designs. In Proc. 1st International Workshop on Electronic Design, Test and Applications, pages 116–120, 2002.
[313 — Saastamoinen:2003:BIF]
I. Saastamoinen, M. Alho, and J. Nunni. Buffer Implementation for Proteo Networks-on-Chip. In Circuits and Systems, ISCAS '03. Proceedings of the 2003 International Symposium on, volume 2, pages 113–116, May 2003.
[314 — Saastamoinen:2003:AIB]
Ilkka Saastamoinen, David Sigüenza Tortosa, and Jari Nurmi. An IP-based on-chip packet-switched network. In Axel Jantsch and Hannu Tenhunen, editors, Networks on Chip, chapter 10, pages 193–213. Kluwer Academic Publishers, 2003.
[315 — Salminen:2003:EAM]
Tommi Salminen and Juha-Pekka Soininen. Evaluating application mapping using network simulation. In Proc. of the Inter. Symp. on System-on-Chip, Tampere, Finland, 2003.
[316 — Sammane:2004:FVO]
G. Al Sammane, J. Schmaltz, and D. Borrione. Formal verification of on-chip networking. In Proceedings of the 1st International Conference on Information & Communication Technologies: from Theory to Applications (ICTTA'04), 2004.
[317 — Santi:2005:OTI]
Stefano Santi, Bill Lin, Ljupco Kocarev, Gian Mario Maggio, Riccardo Rovatti, and Gianluca Setti. On the impact of traffic statistics on quality of service for networks on chip. In Proc. IEEE Int'l Symposium on Circuits and Systems (ISCAS), 2005.
[318 — Schmaltz:2004:AFA]
J. Schmaltz and D. Borrione. A functional approach to the formal specification of networks on chip. In Proc. of Formal Methods in Computer-Aided Design (FMCAD'04), 2004.
[319 — Schmaltz:2004:AFS]
J. Schmaltz and D. Borrione. A functional specification and validation model for networks on chip in the ACL2 logic. In Proceedings of the 5th International Workshop on the ACL2 Theorem Prover and its Applications (ACL2'04), 2004.
[320 — Seo:2005:NOW]
Daeho Seo, Akif Ali, Won-Taek Lim, Nauman Rafique, and Mithuna Thottethodi. Near-optimal worst-case throughput routing for two-dimensional mesh networks. In Proc. of the 32nd Annual International Symposium on Computer Architecture (ISCA), pages 432–443, 2005.
[321 — Sgroi:2001:ATS]
M. Sgroi, M. Sheets, A. Mihal, K. Keutzer, S. Malik, J. Rabaey, and A. Sangiovanni-Vincentelli. Addressing The System-on-a-Chip Interconnect Woes through Communication-based Design. In Proceedings of Design Automation Conference, pages 667–672, June 2001.
[322 — Shacham:2006:MGW]
Assaf Shacham, Keren Bergman, and Luca P. Carloni. Maximizing GFLOPS-per-Watt: High-bandwidth, low power photonic on-chip networks. In P=ac2 Conference, IBM T. J. Watson Research Center, Yorktown Heights, New York, October 2006.
[323 — Shacham:2007:DPN]
Assaf Shacham, Keren Bergman, and Luca P. Carloni. On the design of a photonic network-on-chip. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[324 — Shang:2002:PEI]
Li Shang, Li-Shiuan Peh, and Niraj K. Jha. Power-Efficient Interconnection Networks: Dynamic Voltage Scaling with Links. In Computer Architecture Letters, May 2002.
[325 — Shang:2004:TMC]
Li Shang, Li-Shiuan Peh, Amit Kumar, and Niraj K. Jha. Thermal Modeling, Characterization and Management of On-Chip Networks. In Proc. of the 37th MICRO, 2004.
[326 — Shen:2007:NBM]
Wein-Tsung Shen, Chih-Hao Chao, Yu-Kuang Lien, and An-Yeu Wu. A new binomial mapping and optimization algorithm for reduced-complexity mesh-based on-chip network. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[327 — Shipilov:2004:DAI]
Dmitry Shipilov. Design and implementation of the resource-network interface for networks-on-chip. Master's thesis, Institute of Microelectronics and Information Technology, Royal Institute of Technology (KTH), Stockholm, Sweden, June 2004.
[328 — Shivakumar:2002:MTE]
P. Shivakumar, M. Kistler, S. Keckler, D. Burger, and L. Alvisi. Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic. In Proceedings of the International Conference on Dependable Systems and Networks, 2002.
[329 — Siebenborn:2004:CAF]
A. Siebenborn, O. Bringmann, and W. Rosenstiel. Communication analysis for network-on-chip. In Int. Conf. on Parallel Computing in Electrical Engineering (PARELEC), Dresden, Germany, 2004.
[330 — Tortosa:2004:IIT]
David Sigüenza-Tortosa, Tapani Ahonen, and Jari Nurmi. Issues in the development of a practical NoC: the Proteo concept. Integration, the VLSI Journal, 38(1):95–105, 2004.
[331 — Simunic:2002:MPC]
T. Simunic and S. Boyd. Managing Power Consumption in Networks on Chips. In Proceedings of Design, Automation and Test in Europe (DATE) Conference, 2002.
[332 — Smit:2001:TAM]
Lodewijk T. Smit, Gerard J. M. Smit, Paul J. M. Havinga, Jos A. Huisken, Kees G. W. Goossens, and John T. M. H. Dielissen. Towards A model for making A trade-off between QoS and costs. In Proceedings of the CTIT workshop. Mobile Communications in perspective, February 2001.
[333 — Smit:2005:OOT]
Gerard Smit, Eberhard Scüler, Jürgen Becker, Jér^0me Quévremont, and Werner Brugger. Overview of the 4S project. In Proc. of the Intl. Symp. on System-on-Chip (SoC 2005), pages 70–73, Tampere, Finland, 2005.
[334 — Soteriou:2004:DSE]
Vassos Soteriou and Li-Shiuan Peh. Design-space exploration for power-aware on/off interconnection networks. In Proc. of the 22nd Intl. Conf. on Computer Design (ICCD), 2004.
[335 — Soteriou:2006:AST]
Vassos Soteriou, Hangsheng Wang, and Li-Shiuan Peh. A statistical traffic model for on-chip interconnection networks. In International Conference on Measurement and Simulation of Computer and Telecommunication Systems (MASCOTS '06), September 2006.
[336 — Sridhara:2004:CFS]
Srinivasa R. Sridhara and Naresh R. Shanbhag. Coding for system-on-chip networks: a unified framework. In Design Automation Conference (DAC), pages 103–106, June 2004.
[337 — Srinivasan:2006:ALC]
K Srinivasan and K S Chatha. A low complexity heuristic for design of custom network-on-chip architectures. In In Proc. Design, Automation and Test in Europe (DATE), March 2006.
[338 — Srivastava:2005:PAO]
N. Srivastava and K. Banerjee. Performance analysis of carbon nanotube interconnects for VLSI applications. In IEEE International Conference on Computer-Aided Design (ICCAD), pages 383–390, November 2005.
[339 — Steenhof:2006:NOC]
Frits Steenhof, Harry Duque, Björn Nilsson, Kees Goossens, and Rafael Peset Llopis. Networks on chips for high-end consumer-electronics TV system architectures. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2006.
[340 — Stuijk:2005:PEO]
S. Stuijk, T. Basten, B. Mesman, and M. C. W. Geilen. Predictable embedding of large data structures in multiprocessor networks-on-chip. In Proceedings of Digital System Design, 8th Euromicro Conference (DSD'05), pages 388–395, 2005.
[341 — Stuijk:2005:TCE]
S. Stuijk, A. H. Ghamarian, T. Basten, M. C. W. Geilen, and B. D. Theelen. Time-constrained energy-aware routing and scheduling of network-on-chip communication. Technical Report ESR-2005-08, Eindhoven University of Technology, Department of Electrical Engineering, June 2005.
[342 — Sun:2002:SAE]
Yi-Ran Sun, Shashi Kumar, and Axel Jantsch. Simulation and evaluation of a network on chip architecture using ns-2. In Proceedings of the IEEE NorChip Conference, November 2002.
[343 — Sun:2001:SAP]
Yi-Ran Sun. Simulation and performance evaluation for networks on chip. Master's thesis, Department of Microelectronics and Information Technology, Royal Institute of Technology, Stockholm, Sweden, December 2001. ELE/IMIT/2001-17.
[344 — Svensson:2001:OVS]
C. Svensson. Optimum Voltage Swing on On-Chip and Off-Chip Interconnect. IEEE Journal of Solid-State Circuits, 36(7):1108–1112, July 2001.
[345 — Tamir:1996:DAM]
Y. Tamir and G. L. Frasier. Dynamically-Allocated Multi-Queue BUffers for VLSI Communication Switches. In IEEE Transactions on Computer, volume 6, pages 725–737, June 1996.
[346 — Taylor:2004:EOT]
Michael Bedford Taylor et al. Evaluation of the Raw Microprocessor: An Exposed-Wire-Delay Architecture for ILP and Streams. In The 31st Annual International Symposium on Computer Architecture (ISCA-31), Munich, Germany, June 2004.
[347 — Theis:2000:TFO]
T. N. Theis. The future of interconnection technology. IBM Journal of Research and Development, 44(3):379–390, May 2000.
[348 — Thid:2002:ASF]
R. Thid and M. Millberg. A simulator for on-chip-networks. In Proc. of Swedish System-on-Chip Conference, SSoCC'02, Falkenberg, Sweden, March 2002.
[349 — Thid:2003:ENC]
Richard Thid, Mikael Millberg, and Axel Jantsch. Evaluating NoC communication backbones with simulation. In Proceedings of the IEEE NorChip Conference, November 2003.
[350 — Thid:2002:ANO]
Rikard Thid. A network on chip simulator. Master's thesis, Department of Microelectronics and Information Technology, Royal Institute of Technology, IMIT/LECS 2002-17, August 2002.
[351 — Thid:2003:NOC]
Rikard Thid. Network on chip simulation using SystemC. In Proc. of Swedish System-on-Chip Conference, SSoCC'03, Eskilstuna, Sweden, March 2003.
[352 — Thormann:2005:MOD]
Bjarke Thormann. Modeling of dynamic resource allocation in a network on chip. Master's thesis, School for Information and Communication Technology, Royal Institute of Technology, Stockholm, Sweden, June 2005.
[353 — Tortosa:2002:VBS]
David Sigüenza Tortosa and Jari Nurmi. VHDL-based Simulation Environment for Proteo NoC. In Proc. 7th Annual IEEE Intl. Workshop on High Level Design Validation and Test (HLDVT'02), pages 1–6, 2002.
[354 — Tortosa:2004:AAR]
David Sigüenza Tortosa and Jari Nurmi. Arbitration and routing schemes for on-chip packet networks. In J. Nurmi, H. Tenhunen, J. Isoaho, and A. Jantsch, editors, Interconnect-Centric Design for Advanced SoC and NoC, pages 253–282. Kluwer Academic Publishers, 2004.
[355 — Tortosa:2004:PSC]
David Sigüenza Tortosa and Jari Nurmi. Packet scheduling configuration in Proteo network-on-chip. In Proc. International Symposium on Communication Systems, Networks and Digital Signal Processing (CSNDSP), Newcastle, UK, 2004.
[356 — Tortosa:2004:PSF]
David Sigüenza Tortosa and Jari Nurmi. Packet scheduling for proteo network-on-chip. In Proc. International Conference on Parallel and Distributed Computing and Networks (PDCN'04), Innsbruck, Austria, 2004.
[357 — Tortosa:2004:TDF]
David Sigüenza Tortosa and Jari Nurmi. Topology design for global link optimization for application specific network-on-chip. In Proc. International Symposium on System-on-Chip SoC2004, pages 135–138, Tampere, Finland, 2004.
[358 — Tortosa:2005:SMA]
David Sigüenza Tortosa and Jari Nurmi. System monitoring and reconfiguration in Proteo NoC. In Proc. Reconfigurable Communication-Centric SoCs (ReCoSoC 2005), pages 99–104, Montpellier, France, 2005.
[359 — Tran:2006:ADA]
Xuan-Tu Tran, Jean Durupt, François Bertrand, Vincent Beroulle, and Chantal Robach. A DFT architecture for asynchronous networks-on-chip. In Proc. of the 11th IEEE European Test Symposium (ETS'06), pages 219–224, Southampton, UK, 2006.
[360 — Tran:2007:HTI]
Xuan-Tu Tran, Jean Durupt, François Bertrand, Vincent Beroulle, and Chantal Robach. How to implement an asynchronous test wrapper for network-on-chip nodes. In The 12th IEEE European Test Symposium (ETS 2007), Freiburg, Germany, May 2007.
[361 — Tsai:2005:LAI]
Yuh-Fang Tsai, Vijaykrishnan Narayaynan, Yuan Xie, and Mary Jane Irwin. Leakage-aware interconnect for on-chip network. In Proc. of the Design, Automation and Test in Europe Conference (DATE), 2005.
[362 — Varatkar:2002:TAF]
Girish Varatkar and Radu Marculescu. Traffic Analysis for On-Chip Networks Design of Multimedia Applications. In Proc. of the 39th Design Automation Conference, June 2002.
[363 — Vellanki:2004:QOS]
P. Vellanki, N. Banerjee, and K. Chatha. Quality-of-Service and Error Control Techniques for Network-on-Chip Architectures. In Proceedings of the Great Lakes Symposium on VLSI, 2004.
[364 — Vermeulen:2003:BCN]
Bart Vermeulen, John Dielissen, Kees Goossens, and Calin Ciordas. Bringing communication networks on chip: Test and verification implications. IEEE Communications Magazine, 41(9):74–81, September 2003. Guest editors: Dimitris Gizopoulos and Rob Aitken.
[365 — Vitkovski:2004:LPA]
Arseni Vitkovski, Raimo Haukilahti, Axel Jantsch, and Erland Nilsson. Low-power and error coding for network-on-chip traffic. In Proceedings of the IEEE NorChip Conference, November 2004.
[366 — Vitkowski:2004:ASO]
Arseni Vitkowski. A study on power consumption in the nostrum communication network. Master's thesis, Institute of Microelectronics and Information Technology, Royal Institute of Technology (KTH), Stockholm, Sweden, April 2004.
[367 — Walter:2007:ARH]
Isaskhar Walter, Israel Cidon, Ran Ginosar, and Avinoam Kolodny. Access regulation to hot-modules in wormhole NoCs. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[368 — Walter:2008:BEN]
I. Walter, I. Cidon, and A. Kolodny. BENoC - A Bus-Enhanced Network on-Chip for a Power Efficient CMP. IEEE Computer Architecture Letters, 7(1), 2008.
[369 — Wang:2002:OAP]
H. Wang, X. Zhu, L.-S. Peh, and S. Malik. Orion: A Power-Performance Simulator for Interconnection Networks. In ACM/IEEE MICRO, November 2002.
[370 — Wang:2003:PDD]
Hang-Sheng Wang, Li-Shiuan Peh, and Sharad Malik. Power-Driven Design of Router Microarchitectures in On-Chip Networks. In Proceedings of the 36th MICRO, November 2003.
[371 — Wang:2004:ASR]
Xin Wang, Tapani Ahonen, and Jari Nurmi. A synthesizable RTL design of asynchronous FIFO. In Proc. International Symposium on System-on-Chip SoC2004, pages 123–128, Tampere, Finland, 2004.
[372 — Wang:2005:ATA]
Hangsheng Wang, Li-Shiuan Peh, and Sharad Malik. A technology-aware and energy-oriented topology exploration for on-chip networks. In Proc. of the Design, Automation and Test in Europe Conference (DATE), 2005.
[373 — Wang:2005:ANN]
Xin Wang, David Sigüenza Tortosa, Tapani Ahonen, and Jari Nurmi. Asynchronous network node design for network-on-chip. In Proc. International Symposium on Signals Circuits and Systems (ISSCS), Iasi, Romania, 2005.
[374 — Wielage:2002:NOS]
Paul Wielage and Kees Goossens. Networks on silicon: Blessing or nightmare? In Euromicro Symposium On Digital System Design, Dortmund, Germany, September 2002. Keynote speech.
[375 — Wingard:2001:MBI]
D. Wingard. Micronetwork-based integration for SOCs. In Proceedings of Design Automation Conference (DAC), 2001.
[376 — Wolkotte:2005:EEN]
P. T. Wolkotte, G. J. M. Smit, and J. E. Becker. Energy-efficient noC for best-effort communication. In T. Rissa, S. Wilton, and P. Leong, editors, Proceedings of the 15th International Conference on Field Programmable Logic and Applications 2005 (FPL 2005), Tampere, Finland, pages 197–202. IEEE Circuits and Systems Society, August 2005.
[377 — Wolkotte:2005:EMO]
P. T. Wolkotte, G. J. M. Smit, N. K. Kavaldjiev, J. E. Becker, and J. Becker. Energy model of networks-on-chip and a bus. In J. Nurmi, J. Takala, and T. D. Hamalainen, editors, Proc. of the Intl. Symp. on System-on-Chip (SoC 2005), pages 82–85. IEEE, November 2005.
[378 — Wolkotte:2005:AEE]
P. T. Wolkotte, G. J. M. Smit, G. K. Rauwerda, and L. T. Smit. An energy-efficient reconfigurable circuit switched network-on-chip. In Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - 12th Reconfigurable Architecture Workshop (RAW 2005), Denver, Colorado, USA, page 155. IEEE Computer Society, April 2005.
[379 — Wolkotte:2007:FAD]
Pascal T. Wolkotte, Philip K. F. Holzenspies, and Gerard J. M. Smit. Fast, accurate and detailed NoC simulations. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[380 — Worm:2002:AAL]
Frederic Worm, Paolo Ienne, Patrick Thiran, and Giovanni De Micheli. An Adaptive Low-Power Transmission Scheme for On-Chip Networks. In Proceedings of The 15th International Symposium on System Synthesis, pages 92–100, 2002.
[381 — Worm:2005:ARS]
F. Worm, P. Ienne, P. Thiran, and G. De Micheli. A Robust Self-calibrating Transmission Scheme for On-Chip Networks. IEEE Transactions on VLSI, 13(1):126–139, 2005.
[382 — Wu:2007:IDA]
Xiang Wu, Tamer Ragheb, Yehia Massoud, and Adnan Aziz. Implementing DSP algorithms with on-chip networks. In Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS), May 2007.
[383 — Xu:2002:WPF]
Jiang Xu and Wayne Wolf. Wave pipelining for application-specific networks-on-chips. In Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES), pages 198–201, 2002.
[384 — Xu:2003:AWP]
Jiang Xu and Wayne Wolf. A Wave-Pipelined On-chip Interconnect Structure for Networks-on-Chips. In The 11th Symposium On High Performance Interconnects, page 10, August 2003.
[385 — Xu:2004:ACS]
Jiang Xu, Wayne Wolf, Jörg Henkel, Srimat T. Chakradhar, and Tiehan Lv. A case study in networks-on-chip design for embedded video. In Design, Automation and Test in Europe Conference and Exposition (DATE 2004), pages 770–777, 2004.
[386 — Yakovlev:1995:HLM]
A. Yakovlev, A. Koelmans, and L. Lavagno. High level modelling and design of asynchronous interface logic. IEEE Design and Test of Computers, 12(1), 1995.
[387 — Yakovlev:1995:DAP]
A. Yakovlev, V. Varshavsky, V. Marakhovsky, and A. Semenov. Designing an asynchronous pipeline token ring interface. In Proc. of 2nd Working Conference on Asynchronous Design Methodologies, pages 32–41, London, May 1995. IEEE Comp. Society Press.
[388 — Yang:2005:FTR]
Mei Yang, Tao Li, Yinglao Jiang, and Yulu Yang. Fault-tolerant routing schemes in RDT(2,2,1)/alpha-based interconnection network for networks-on-chip design. In Proc. of the 8th Intl. Symp. on Parallel Architectures, Algorithms and Networks (ISPAN), 2005.
[389 — Ye:2002:AOP]
T. T. Ye, L. Benini, and G. De Micheli. Analysis of power consumption on switch fabrics in network routers. In Proceedings. 39th Design Automation Conference, pages 524–529, 2002.
[390 — Ye:2003:POC]
Terry Tao Ye, Luca Benini, and Giovanni De Micheli. Packetized On-Chip Interconnect Communication Analysis for MPSoC. In Proc. Design Automation and Test in Europe, pages 344–349, 2003.
[391 — Yin:2005:DAI]
Bei Yin. Design and implementation of a wormhole router supporting multicast for networks on chips. Master's thesis, Institute of Microelectronics and Information Technology, Royal Institute of Technology (KTH), 2005.
[392 — Ykman:2005:DTA]
Ch. Ykman-Couvreur, E. Brockmeyer, V. Nollet, Th. Marescaux, Fr. Catthoor, and H. Corporaal. Design-time application exploration for MP-SoC customized run-time management. In Proceedings of the International Symposium on System-on-Chip, pages 66–73, Tampere, Finland, November 2005.
[393 — Ykman:2006:FMD]
Ch. Ykman-Couvreur, V. Nollet, Fr. Catthoor, and H. Corporaal. Fast multi-dimension multi-choice knapsack heuristic for MP-SoC run-time management. pages 195–198, Tampere, Finland, November 2006.
[394 — Ykman:2006:PBA]
Ch. Ykman-Couvreur, V. Nollet, Th. Marescaux, E. Brockmeyer, Fr. Catthoor, and H. Corporaal. Pareto-based application specification for MP-SoC customized run-time management. In Proceedings of the International Conference on Embedded Computer Systems: Architectures, MOdeling, and Simulation (SAMOS), pages 78–84, Samos, Greece, July 2006.
[395 — You:2008:PEE]
Junbok You, Yang Xu, Hosuk Han, and Kenneth S. Stevens. Performance evaluation of elastic GALS interfaces and network fabric. In Electronic Notes in Theoretical Computer Science, 200(1), pages 17–32. Elsevier, February 2008.
[396 — Yu:2006:AAA]
Zhiyi Yu, Michael Meeuwsen, Ryan Apperson, Omar Sattari, Michael Lai, Jeremy Webb, Eric Work, Tinoosh Mohsenin, Mandeep Singh, and Bevan M. Baas. An asynchronous array of simple processors for DSP applications. In IEEE International Solid-State Circuits Conference, (ISSCC '06), February 2006.
[397 — Zhang:1999:IAE]
H. Zhang, M. Wan, V. George, and J. Rabaey. Interconnect Architecture Exploration for Low-Energy Reconfigurable Single-Chip DSPs. In Proceedings of the WVLSI, April 1999.
[398 — Zhang:2006:ASO]
Yingping Zhang, Taikyeong Jeong, Fei Chen, Ronny Nitzsche, and Guang R. Gao. A study of the on-chip interconnection network for the IBM Cyclops64 multi-core architecture. In Proc. of IEEE Intl. Parallel and Distributed Processing Symposium, Rhodes Island, Greece, April 2006.
[399 — Zhong:2005:EOD]
Mingchen Zhong. Evaluation of deflection-routed on-chip networks. Master's thesis, School for Information and Communication Technology, Royal Institute of Technology, Stockholm, Sweden, August 2005.
[400 — Zimmer:2003:AFM]
Heiko Zimmer and Axel Jantsch. A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip. In Proceedings of the CODES-ISSS Conference, October 2003.
[401 — Zimmer:2004:ETI]
Heiko Zimmer and Axel Jantsch. Error-tolerant interconnect schemes. In Jari Nurmi, Hannu Tenhunen, Jouni Isoaho, and Axel Jantsch, editors, Interconnect-Centric Design for Advanced SoCs and NoCs, chapter 6. Kluwer Academic Publisher, April 2004.
[402 — Zimmer:2002:FMA]
Heiko Zimmer. Fault modelling and error-control coding in a network-on-chip. Master's thesis, Laboratory of Electronics and Computer Systems, Royal Institute of Technology (KTH), IMIT/LECS 2002-26, Stockholm, 2002.